Voltage scheduling problem for dynamically variable voltage processors
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Power conscious fixed priority scheduling for hard real-time systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Run-time voltage hopping for low-power real-time systems
Proceedings of the 37th Annual Design Automation Conference
An Accurate Worst Case Timing Analysis for RISC Processors
IEEE Transactions on Software Engineering
A scheduling model for reduced CPU energy
FOCS '95 Proceedings of the 36th Annual Symposium on Foundations of Computer Science
Voltage-Clock Scaling for Low Energy Consumption in Real-Time Embedded Systems
RTCSA '99 Proceedings of the Sixth International Conference on Real-Time Computing Systems and Applications
Integrating the timing analysis of pipelining and instruction caching
RTSS '95 Proceedings of the 16th IEEE Real-Time Systems Symposium
Synthesis Techniques for Low-Power Hard Real-Time Systems on Variable Voltage Processors
RTSS '98 Proceedings of the IEEE Real-Time Systems Symposium
Real-Time Task Scheduling for a Variable Voltage Processor
Proceedings of the 12th international symposium on System synthesis
Low power system scheduling and synthesis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Frame-based dynamic voltage and frequency scaling for a MPEG decoder
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
On energy-optimal voltage scheduling for fixed-priority hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Variable voltage task scheduling algorithms for minimizing energy/power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Pareto-optimization-based run-time task scheduling for embedded systems
Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Exploring Efficient Operating Points for Voltage Scaled Embedded Processor Cores
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Combining compiler and runtime IPC predictions to reduce energy in next generation architectures
Proceedings of the 1st conference on Computing frontiers
Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding
Proceedings of the 41st annual Design Automation Conference
Dynamic voltage and frequency scaling based on workload decomposition
Proceedings of the 2004 international symposium on Low power electronics and design
Energy macromodeling of embedded operating systems
ACM Transactions on Embedded Computing Systems (TECS)
System-level energy-efficient dynamic task scheduling
Proceedings of the 42nd annual Design Automation Conference
Power reduction techniques for microprocessor systems
ACM Computing Surveys (CSUR)
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
An efficient dynamic task scheduling algorithm for battery powered DVS systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Journal of VLSI Signal Processing Systems
Runtime distribution-aware dynamic voltage scaling
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Dynamic voltage frequency scaling for multi-tasking systems using online learning
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Energy-efficient dynamic task scheduling algorithms for DVS systems
ACM Transactions on Embedded Computing Systems (TECS)
Energy minimization with loop fusion and multi-functional-unit scheduling for multidimensional DSP
Journal of Parallel and Distributed Computing
Journal of Signal Processing Systems
Energy-Efficient Considerations on a Variable-Bitrate PCI-Express Device
Journal of Signal Processing Systems
Energy-efficiency on a variable-bitrate device
EUC'07 Proceedings of the 2007 conference on Emerging direction in embedded and ubiquitous computing
Online energy-saving algorithm for sensor networks in dynamic changing environments
Journal of Embedded Computing
Analysis of dynamic voltage scaling for system level energy management
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Energy-Aware Loop Parallelism Maximization for Multi-core DSP Architectures
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
OS-level power minimization under tight performance constraints in general purpose systems
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
Identifying the optimal energy-efficient operating points of parallel workloads
Proceedings of the International Conference on Computer-Aided Design
Power-saving scheduling for weakly dynamic voltage scaling devices
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Pack & Cap: adaptive DVFS and thread packing under power caps
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
Dynamic Cache Reconfiguration for Soft Real-Time Systems
ACM Transactions on Embedded Computing Systems (TECS)
An experimental evaluation of real-time DVFS scheduling algorithms
Proceedings of the 5th Annual International Systems and Storage Conference
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Evaluation of Low-Power Computing when Operating on Subsets of Multicore Processors
Journal of Signal Processing Systems
Reducing the energy cost of computing through efficient co-scheduling of parallel workloads
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Hi-index | 0.00 |
We propose an intra-task voltage scheduling algorithm for low-energy hard real-time applications. Based on a static timing analysis technique, the proposed algorithm controls the supply voltage within an individual task boundary. By fully exploiting all the slack times, a scheduled program by the proposed algorithm always complete its execution near the deadline, thus achieving a high energy reduction ratio. In order to validate the effectiveness of the proposed algorithm, we built a software tool that automatically converts a DVS-unaware program into an equivalent low-energy program. Experimental results show that the low-energy version of an MPEG-4 encoder/decoder (converted by the software tool) consumes less than 7$\sim$25% of the original program running on a fixed-voltage system with a power-down mode.