Power optimization of variable voltage core-based systems
DAC '98 Proceedings of the 35th annual Design Automation Conference
Techniques for energy minimization of communication pipelines
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
On-line scheduling of hard real-time tasks on variable voltage processor
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Power conscious fixed priority scheduling for hard real-time systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
The impact of battery capacity and memory bandwidth on CPU speed-setting: a case study
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Run-time voltage hopping for low-power real-time systems
Proceedings of the 37th Annual Design Automation Conference
Voltage scheduling in the IpARM microprocessor system
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
An adaptive algorithm for low-power streaming multimedia processing
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
LEneS: task scheduling for low-energy systems using variable supply voltage processors
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Improving dynamic voltage scaling algorithms with PACE
Proceedings of the 2001 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Low-energy intra-task voltage scheduling using static timing analysis
Proceedings of the 38th annual Design Automation Conference
Dynamic voltage scaling and power management for portable systems
Proceedings of the 38th annual Design Automation Conference
Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors
Proceedings of the 38th annual Design Automation Conference
Energy priority scheduling for variable voltage processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Hard real-time scheduling for low-energy using stochastic data and DVS processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
A profile-based energy-efficient intra-task voltage scheduling algorithm for real-time applications
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Compiler-directed dynamic voltage/frequency scheduling for energy reduction in microprocessors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Variable voltage task scheduling algorithms for minimizing energy
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Proceedings of the 14th international symposium on Systems synthesis
EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Integration of dynamic voltage scaling and soft real-time scheduling for open mobile systems
NOSSDAV '02 Proceedings of the 12th international workshop on Network and operating systems support for digital audio and video
Energy-conscious compilation based on voltage scaling
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Energy characterization of embedded real-time operating systems
ACM SIGARCH Computer Architecture News - Special Issue: PACT 2001 workshops
Contents provider-assisted dynamic voltage scaling for low energy multimedia applications
Proceedings of the 2002 international symposium on Low power electronics and design
Low-power color TFT LCD display for hand-held embedded systems
Proceedings of the 2002 international symposium on Low power electronics and design
Power-aware video decoding using real-time event handlers
WOWMOM '02 Proceedings of the 5th ACM international workshop on Wireless mobile multimedia
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Energy aware task scheduling with task synchronization for embedded real time systems
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Power optimization of real-time embedded systems on variable speed processors
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Low power system scheduling and synthesis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Energy efficient real-time scheduling
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
What is the limit of energy saving by dynamic voltage scaling?
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
ECOSystem: managing energy as a first class operating system resource
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
Intra-Task Voltage Scheduling for Low-Energy, Hard Real-Time Applications
IEEE Design & Test
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Low Power Distributed Embedded Systems: Dynamic Voltage Scaling and Synthesis
HiPC '02 Proceedings of the 9th International Conference on High Performance Computing
System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors
PACS '00 Proceedings of the First International Workshop on Power-Aware Computer Systems-Revised Papers
Competitive Online Scheduling with Level of Service
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Scalable Applications for Energy-Aware Processors
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
A realistic variable voltage scheduling model for real-time applications
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Optimal voltage allocation techniques for dynamically variable voltage processors
Proceedings of the 40th annual Design Automation Conference
Energy-balanced task allocation for collaborative processing in networked embedded systems
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
Dynamic management of power consumption
Power aware computing
Power management points in power-aware real-time systems
Power aware computing
A power-aware API for embedded and portable systems
Power aware computing
An Integrated Approach for Applying Dynamic Voltage Scaling to Hard Real-Time Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Practical Voltage-Scaling for Fixed-Priority RT-Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Mode Selection and Mode-Dependency Modeling for Power-Aware Embedded Systems
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Energy management for battery-powered embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
On energy-optimal voltage scheduling for fixed-priority hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Power management for energy-aware communication systems
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis
Proceedings of the 2003 international symposium on Low power electronics and design
Uncertainty-based scheduling: energy-efficient ordering for tasks with variable execution time
Proceedings of the 2003 international symposium on Low power electronics and design
IEEE Transactions on Parallel and Distributed Systems
Variable voltage task scheduling algorithms for minimizing energy/power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Maximizing rewards for real-time applications with energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
A Dynamic Voltage Scaling Algorithm for Sporadic Tasks
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Exploring Efficient Operating Points for Voltage Scaled Embedded Processor Cores
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Practical lazy scheduling in sensor networks
Proceedings of the 1st international conference on Embedded networked sensor systems
Application-directed voltage scaling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on low power
Reduced energy decoding of MPEG streams
Multimedia Systems
Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems
IEEE Transactions on Computers
The Interplay of Power Management and Fault Recovery in Real-Time Systems
IEEE Transactions on Computers
Energy characterization of embedded real-time operating systems
Compilers and operating systems for low power
Profit-driven uniprocessor scheduling with energy and timing constraints
Proceedings of the 2004 ACM symposium on Applied computing
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Power-Aware Scheduling for Periodic Real-Time Tasks
IEEE Transactions on Computers
Managing power consumption in networks on chips
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Adaptive scheduling server for power-aware real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Blocking-aware processor voltage scheduling for real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Profile-based optimal intra-task voltage scheduling for hard real-time applications
Proceedings of the 41st annual Design Automation Conference
Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding
Proceedings of the 41st annual Design Automation Conference
Procrastination scheduling in fixed priority real-time systems
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Competitive on-line scheduling with level of service
Journal of Scheduling - Special issue: On-line scheduling
Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Dynamic voltage and frequency scaling based on workload decomposition
Proceedings of the 2004 international symposium on Low power electronics and design
Energy efficient design of wireless sensor nodes
Wireless sensor networks
Maximizing the system value while satisfying time and energy constraints
IBM Journal of Research and Development
Using resource reservation techniques for power-aware scheduling
Proceedings of the 4th ACM international conference on Embedded software
Practical PACE for embedded systems
Proceedings of the 4th ACM international conference on Embedded software
Reducing both dynamic and leakage energy consumption for hard real-time systems
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Power-Aware Scheduling for AND/OR Graphs in Real-Time Systems
IEEE Transactions on Parallel and Distributed Systems
The Energy Impact of Aggressive Loop Fusion
Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques
Dynamic voltage scheduling with buffers in low-power multimedia applications
ACM Transactions on Embedded Computing Systems (TECS)
Energy-balanced task allocation for collaborative processing in wireless sensor networks
Mobile Networks and Applications
Optimal Lexicographic Shaping of Aggregate Streaming Data
IEEE Transactions on Computers
An Approximation Algorithm for Energy-Efficient Scheduling on A Chip Multiprocessor
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Three dozen papers on online algorithms
ACM SIGACT News
Pruning-based, energy-optimal, deterministic I/O device scheduling for hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Optimal voltage allocation techniques for dynamically variable voltage processors
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic Task-Level Voltage Scheduling Optimizations
IEEE Transactions on Computers
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Dynamic Mapping in Energy Constrained Heterogeneous Computing Systems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
k-Server Optimal Task Scheduling Problem with Convex Cost Function
WIOPT '05 Proceedings of the Third International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
Dynamic Voltage Scaling for Digital Control System Implementation
Real-Time Systems
Dynamic slack reclamation with procrastination scheduling in real-time embedded systems
Proceedings of the 42nd annual Design Automation Conference
System-level energy-efficient dynamic task scheduling
Proceedings of the 42nd annual Design Automation Conference
DC-DC converter-aware power management for battery-operated embedded systems
Proceedings of the 42nd annual Design Automation Conference
Energy-efficient policies for embedded clusters
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Algorithmic problems in power management
ACM SIGACT News
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Proceedings of the 5th ACM international conference on Embedded software
Minimizing expected energy in real-time embedded systems
Proceedings of the 5th ACM international conference on Embedded software
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Energy optimization for a two-device data flow chain
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Mapping subtasks with multiple versions on an ad hoc grid
Parallel Computing - Heterogeneous computing
Shortest-path algorithms for real-time scheduling of FIFO tasks with minimal energy use
ACM Transactions on Embedded Computing Systems (TECS)
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
An efficient dynamic task scheduling algorithm for battery powered DVS systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
ACM SIGACT News
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environment
Journal of Parallel and Distributed Computing - Special issue: Algorithms for wireless and ad-hoc networks
Extending the lifetime of fuel cell based hybrid systems
Proceedings of the 43rd annual Design Automation Conference
Signature-based workload estimation for mobile 3D graphics
Proceedings of the 43rd annual Design Automation Conference
Power-aware scheduling for makespan and flow
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
Operating System Modifications for Task-Based Speed and Voltage
Proceedings of the 1st international conference on Mobile systems, applications and services
Speed scaling to manage energy and temperature
Journal of the ACM (JACM)
Transition-overhead-aware voltage scheduling for fixed-priority real-time systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Runtime distribution-aware dynamic voltage scaling
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Multi-version scheduling in rechargeable energy-aware real-time systems
Journal of Embedded Computing - Real-Time Systems (Euromicro RTS-03)
Bounded energy allocation and scheduling for real-time Embedded Systems
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Speed scaling on parallel processors
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Efficient and scalable compiler-directed energy optimization for realtime applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
IEEE Transactions on Computers
Optimal Dynamic Voltage Scaling in Energy-Limited Nonpreemptive Systems with Real-Time Constraints
IEEE Transactions on Mobile Computing
Proceedings of the conference on Design, automation and test in Europe
Energy efficient DVS schedule for fixed-priority real-time systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Techniques for maintaining connectivity in wireless ad-hoc networks under energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
Energy efficient online deadline scheduling
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Speed scaling for weighted flow time
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Dynamic voltage frequency scaling for multi-tasking systems using online learning
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Energy management of DVS-DPM enabled embedded systems powered by fuel cell-battery hybrid source
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Proceedings of the 20th annual conference on Integrated circuits and systems design
Dynamic voltage scaling under EDF revisited
Real-Time Systems
Performance optimal processor throttling under thermal constraints
CASES '07 Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems
A unified practical approach to stochastic DVS scheduling
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
ACM Transactions on Algorithms (TALG)
Energy-efficient algorithms for flow time minimization
ACM Transactions on Algorithms (TALG)
Minimizing expected energy consumption in real-time systems through dynamic voltage scaling
ACM Transactions on Computer Systems (TOCS)
Energy optimization of multiprocessor systems on chip by voltage selection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Energy-efficient dynamic task scheduling algorithms for DVS systems
ACM Transactions on Embedded Computing Systems (TECS)
A design framework for real-time embedded systems with code size and energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
Energy minimization with loop fusion and multi-functional-unit scheduling for multidimensional DSP
Journal of Parallel and Distributed Computing
Energy efficient scheduling for parallel applications on mobile clusters
Cluster Computing
A simulation framework for energy efficient data grids
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Getting the best response for your erg
ACM Transactions on Algorithms (TALG)
Competitive non-migratory scheduling for flow time and energy
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Proceedings of the 13th international symposium on Low power electronics and design
Energy aware dynamic voltage and frequency selection for real-time systems with energy harvesting
Proceedings of the conference on Design, automation and test in Europe
Hard real-time tasks' scheduling considering voltage scaling, precedence and exclusion relations
Information Processing Letters
Energy-Efficient Fixed-Priority Scheduling for Periodic Real-Time Tasks with Multi-priority Subtasks
ICESS '07 Proceedings of the 3rd international conference on Embedded Software and Systems
Speed Scaling with a Solar Cell
AAIM '08 Proceedings of the 4th international conference on Algorithmic Aspects in Information and Management
Speed Scaling Functions for Flow Time Scheduling Based on Active Job Count
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
Microprocessors & Microsystems
Optimal Control of Discrete Event Systems with Weakly Hard Real-Time Constraints
Discrete Event Dynamic Systems
Optimal service level allocation in environmentally powered embedded systems
Proceedings of the 2009 ACM symposium on Applied Computing
Non-clairvoyant speed scaling for batched parallel jobs on multiprocessors
Proceedings of the 6th ACM conference on Computing frontiers
Minimizing CPU energy in real-time systems with discrete speed management
ACM Transactions on Embedded Computing Systems (TECS)
A 5/3-Approximation Algorithm for Joint Replenishment with Deadlines
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Improved Bounds for Speed Scaling in Devices Obeying the Cube-Root Rule
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
Sleep with Guilt and Work Faster to Minimize Flow Plus Energy
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
The bell is ringing in speed-scaled multiprocessor scheduling
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
Near optimal battery-aware energy management
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Transaction-based adaptive dynamic voltage scaling for interactive applications
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Journal of Signal Processing Systems
Speed scaling with a solar cell
Theoretical Computer Science
Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Proceedings of the 46th Annual Design Automation Conference
Optimal speed scaling under arbitrary power functions
ACM SIGMETRICS Performance Evaluation Review
Optimizing throughput and energy in online deadline scheduling
ACM Transactions on Algorithms (TALG)
Power-aware scheduling for makespan and flow
Journal of Scheduling
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Slack allocation algorithm for parallel machines
Journal of Parallel and Distributed Computing
Toward energy-efficient computing
Communications of the ACM
Run-time adaptive workload estimation for dynamic voltage scaling
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Energy optimal schedules for jobs with multiple active intervals
Theoretical Computer Science
Enhanced reliability-aware power management through shared recovery technique
Proceedings of the 2009 International Conference on Computer-Aided Design
Cooperative power-aware scheduling in grid computing environments
Journal of Parallel and Distributed Computing
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Min-Energy Scheduling for Aligned Jobs in Accelerate Model
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Coscheduling of processor voltage and control task period for energy-efficient control systems
ACM Transactions on Embedded Computing Systems (TECS)
Toward Energy-Efficient Computing
Queue - Chip Design
Optimal Admission Control of Discrete Event Systems with Real-Time Constraints
Discrete Event Dynamic Systems
A hybrid DVS scheduling approach for hard real-time systems
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Communications of the ACM
Dynamic workload peak detection for slack management
SOC'09 Proceedings of the 11th international conference on System-on-chip
Energy-Efficient Considerations on a Variable-Bitrate PCI-Express Device
Journal of Signal Processing Systems
Dynamic slack allocation algorithms for energy minimization on parallel machines
Journal of Parallel and Distributed Computing
Multi-processor computer system having low power consumption
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
A low-power content-adaptive texture mapping architecture for real-time 3D graphics
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Application-supported device management for energy and performance
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Online deadline scheduling with bounded energy efficiency
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
On-line Optimal Control of a Class of Discrete Event Systems with Real-Time Constraints
Discrete Event Dynamic Systems
Energy-efficiency on a variable-bitrate device
EUC'07 Proceedings of the 2007 conference on Emerging direction in embedded and ubiquitous computing
Energy efficient deadline scheduling in two processor systems
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
On temperature-aware scheduling for single-processor systems
HiPC'07 Proceedings of the 14th international conference on High performance computing
Energy-efficient windows scheduling
SOFSEM'08 Proceedings of the 34th conference on Current trends in theory and practice of computer science
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Optimal sleep patterns for serving delay-tolerant jobs
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Speed control and scheduling of data mules in sensor networks
ACM Transactions on Sensor Networks (TOSN)
Optimality, fairness, and robustness in speed scaling designs
Proceedings of the ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Routing for energy minimization in the speed scaling model
INFOCOM'10 Proceedings of the 29th conference on Information communications
Transition-aware DVS algorithm for real-time systems using tree structure analysis
Journal of Systems Architecture: the EUROMICRO Journal
Power consumption in wireless sensor networks
Proceedings of the 7th International Conference on Frontiers of Information Technology
Online energy-saving algorithm for sensor networks in dynamic changing environments
Journal of Embedded Computing
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with DVFS
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Deadline scheduling and power management for speed bounded processors
Theoretical Computer Science
Non-clairvoyant scheduling for weighted flow time and energy on speed bounded processors
CATS '10 Proceedings of the Sixteenth Symposium on Computing: the Australasian Theory - Volume 109
Optimality analysis of energy-performance trade-off for server farm management
Performance Evaluation
Energy-efficient real-time task scheduling with temperature-dependent leakage
Proceedings of the Conference on Design, Automation and Test in Europe
Scheduling for energy efficiency and fault tolerance in hard real-time systems
Proceedings of the Conference on Design, Automation and Test in Europe
Energy minimization for real-time systems with non-convex and discrete operation modes
Proceedings of the Conference on Design, Automation and Test in Europe
Energy-aware packet and task co-scheduling for embedded systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Reliability-aware dynamic energy management in dependable embedded real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
How to schedule when you have to buy your energy
APPROX/RANDOM'10 Proceedings of the 13th international conference on Approximation, and 14 the International conference on Randomization, and combinatorial optimization: algorithms and techniques
Non-clairvoyant speed scaling for weighted flow time
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
Chipping away at greenhouse gases
Communications of the ACM
Min-energy scheduling for aligned jobs in accelerate model
Theoretical Computer Science
Quasi-static voltage scaling for energy minimization with time constraints
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Tradeoff between energy and throughput for online deadline scheduling
WAOA'10 Proceedings of the 8th international conference on Approximation and online algorithms
Leakage conscious DVS scheduling for peak temperature minimization
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Speed Scaling for Weighted Flow Time
SIAM Journal on Computing
Energy-aware wireless systems with adaptive power-fidelity tradeoffs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Static task-scheduling algorithms for battery-powered DVS systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy minimization for real-time systems with (m; k)-guarantee
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Thermal-aware global real-time scheduling and analysis on multicore systems
Journal of Systems Architecture: the EUROMICRO Journal
Speed scaling to manage temperature
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
Energy-efficient due date scheduling
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
Speed scaling for energy and performance with instantaneous parallelism
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
Sleep-aware mode assignment in wireless embedded systems
Journal of Parallel and Distributed Computing
On multi-processor speed scaling with migration: extended abstract
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Energy reduction for scheduling a set of multiple feasible interval jobs
Journal of Systems Architecture: the EUROMICRO Journal
Low-energy automated scheduling of computing resources
Proceedings of the 1st ACM/IEEE workshop on Autonomic computing in economics
Some observations on optimal frequency selection in DVFS-based energy consumption minimization
Journal of Parallel and Distributed Computing
Theoretical Computer Science
Multiprocessor speed scaling for jobs with arbitrary sizes and deadlines
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Approximation algorithms for unrelated machine scheduling with an energy budget
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
Online algorithms for maximizing weighted throughput of unit jobs with temperature constraints
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
Sleep management on multiple machines for energy and flow time
ICALP'11 Proceedings of the 38th international colloquim conference on Automata, languages and programming - Volume Part I
An O(n log n) algorithm for a load balancing problem on paths
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Energy-aware capacity scaling in virtualized environments with performance guarantees
Performance Evaluation
A QoS Guaranteed Cache Design for Environment Friendly Computing
GREENCOM '11 Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications
Race to idle: new algorithms for speed scaling with a sleep state
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Energy-Efficient algorithms for flow time minimization
STACS'06 Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
Speed scaling of tasks with precedence constraints
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
Min-energy voltage allocation for tree-structured tasks
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Combining optimistic and pessimistic DVS scheduling: an adaptive scheme and analysis
Proceedings of the International Conference on Computer-Aided Design
Power-Aware scheduling for parallel security processors with analytical models
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
An efficient algorithm for computing optimal discrete voltage schedules
MFCS'05 Proceedings of the 30th international conference on Mathematical Foundations of Computer Science
Speed scaling to manage temperature
STACS'05 Proceedings of the 22nd annual conference on Theoretical Aspects of Computer Science
Power-saving scheduling for weakly dynamic voltage scaling devices
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Optimizing quality of service in real-time systems under energy constraints
ACM SIGOPS Operating Systems Review
Energy efficient configuration for qos in reliable parallel servers
EDCC'05 Proceedings of the 5th European conference on Dependable Computing
Improved multi-processor scheduling for flow time and energy
Journal of Scheduling
Algorithms for energy management
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
Effective dynamic voltage scaling through CPU-Boundedness detection
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Energy-Efficient broadcast scheduling for speed-controlled transmission channels
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Routing for power minimization in the speed scaling model
IEEE/ACM Transactions on Networking (TON)
An auction-based strategy for distributed task allocation in wireless sensor networks
Computer Communications
Energy efficient scheduling of parallel tasks on multiprocessor computers
The Journal of Supercomputing
Journal of Signal Processing Systems
Modeling and analyzing power management policies in server farms using stochastic Petri nets
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Non-clairvoyant weighted flow time scheduling with rejection penalty
Proceedings of the twenty-fourth annual ACM symposium on Parallelism in algorithms and architectures
The Journal of Supercomputing
Energy-Efficient network routing with discrete cost functions
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Speed scaling problems with memory/cache consideration
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Optimal control of batteries with fully and partially available rechargeability
Automatica (Journal of IFAC)
SWAT'12 Proceedings of the 13th Scandinavian conference on Algorithm Theory
Energy-efficient tasks scheduling algorithm for real-time multiprocessor embedded systems
The Journal of Supercomputing
Power-aware speed scaling in processor sharing systems: Optimality and robustness
Performance Evaluation
Energy-efficient deadline scheduling for heterogeneous systems
Journal of Parallel and Distributed Computing
Optimization for real-time systems with non-convex power versus speed models
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
On the fundamentals of leakage aware real-time DVS scheduling for peak temperature minimization
Journal of Systems Architecture: the EUROMICRO Journal
Three-phase time-aware energy minimization with DVFS and unrolling for Chip Multiprocessors
Journal of Systems Architecture: the EUROMICRO Journal
Optimal DPM and DVFS for frame-based real-time systems
ACM Transactions on Architecture and Code Optimization (TACO) - Special Issue on High-Performance Embedded Architectures and Compilers
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Parallel genetic algorithms for DVS scheduling of distributed embedded systems
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Speed scaling on parallel processors with migration
Euro-Par'12 Proceedings of the 18th international conference on Parallel Processing
Energy efficient frequency scaling and scheduling for malleable tasks
Euro-Par'12 Proceedings of the 18th international conference on Parallel Processing
An energy complexity model for algorithms
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads
ACM Transactions on Embedded Computing Systems (TECS) - Special issue on embedded systems for interactive multimedia services (ES-IMS)
Meeting the fairness deadline in speed scaling systems: is turbocharging enough?
ACM SIGMETRICS Performance Evaluation Review
Scheduling for weighted flow time and energy with rejection penalty
Theoretical Computer Science
Collecting information by power-aware mobile agents
DISC'12 Proceedings of the 26th international conference on Distributed Computing
The software perspective for energy-efficient mobile applications development
Proceedings of the 10th International Conference on Advances in Mobile Computing & Multimedia
Energy-aware preemptive scheduling algorithm for sporadic tasks on DVS platform
Microprocessors & Microsystems
Slow down and sleep for profit in online deadline scheduling
MedAlg'12 Proceedings of the First Mediterranean conference on Design and Analysis of Algorithms
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Optimal Cost Sharing for Resource Selection Games
Mathematics of Operations Research
Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Strategies for improving performance and energy efficiency on a many-core
Proceedings of the ACM International Conference on Computing Frontiers
Profitable scheduling on multiple speed-scalable processors
Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures
Proceedings of the 50th Annual Design Automation Conference
Energy-aware parallel task scheduling in a cluster
Future Generation Computer Systems
Variation-aware voltage level selection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Harvesting-aware power management for real-time systems with renewable energy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A survey of pipelined workflow scheduling: Models and algorithms
ACM Computing Surveys (CSUR)
Journal of Scheduling
State-of-the-art research study for green cloud computing
The Journal of Supercomputing
Optimizing energy management in multi-core servers
ACM SIGMETRICS Performance Evaluation Review - Special issue on the 31st international symposium on computer performance, modeling, measurements and evaluation (IFIPWG 7.3 Performance 2013)
Dual techniques for scheduling on a machine with varying speed
ICALP'13 Proceedings of the 40th international conference on Automata, Languages, and Programming - Volume Part I
Online parallel scheduling of non-uniform tasks: trading failures for energy
FCT'13 Proceedings of the 19th international conference on Fundamentals of Computation Theory
Energy-Efficient scheduling with time and processors eligibility restrictions
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
A survey on techniques for improving the energy efficiency of large-scale distributed systems
ACM Computing Surveys (CSUR)
A resource-driven DVFS scheme for smart handheld devices
ACM Transactions on Embedded Computing Systems (TECS)
Race to idle: New algorithms for speed scaling with a sleep state
ACM Transactions on Algorithms (TALG)
A heuristic energy-aware approach for hard real-time systems on multi-core platforms
Microprocessors & Microsystems
Rate-adaptive weighted fair queueing for energy-aware scheduling
Information Processing Letters
Decoupled speed scaling: Analysis and evaluation
Performance Evaluation
Energy-efficient scheduling in multi-core servers
Computer Networks: The International Journal of Computer and Telecommunications Networking
The Bell Is Ringing in Speed-Scaled Multiprocessor Scheduling
Theory of Computing Systems
UCC '13 Proceedings of the 2013 IEEE/ACM 6th International Conference on Utility and Cloud Computing
Power-aware fixed priority scheduling for sporadic tasks in hard real-time systems
Journal of Systems and Software
Low-power scheduling with DVFS for common RTOS on multicore platforms
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
Hi-index | 0.07 |
The energy usage of computer systems is becoming an important consideration, especially for battery-operated systems. Various methods for reducing energy consumption have been investigated, both at the circuit level and at the operating systems level. In this paper, we propose a simple model of job scheduling aimed at capturing some key aspects of energy minimization. In this model, each job is to be executed between its arrival time and deadline by a single processor with variable speed, under the assumption that energy usage per unit time, P, is a convex function, of the processor speed s. We give an off-line algorithm that computes, for any set of jobs, a minimum-energy schedule. We then consider some on-line algorithms and their competitive performance for the power function P(s)=s/sup p/ where p/spl ges/2. It is shown that one natural heuristic, called the Average Rate heuristic, uses at most a constant times the minimum energy required. The analysis involves bounding the largest eigenvalue in matrices of a special type.