Early power exploration—a World Wide Web application
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Verification of electronic systems
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Design considerations and tools for low-voltage digital system design
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Scheduling techniques to enable power management
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Manufacturability of low power CMOS technology solutions
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
A low power switching power supply for self-clocked systems
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Data driven signal processing: an approach for energy efficient computing
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Journal of VLSI Signal Processing Systems - Special issue on the rapid prototyping of application specific signal processors (RASSP) program
A method of redundant clocking detection and power reduction at RT level design
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Exploiting the locality of memory references to reduce the address bus energy
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Low power data processing by elimination of redundant computations
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Low power multiplexer decomposition
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Device and technology optimizations for low power design in deep sub-micron regime
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
Optimizing computations in a transposed direct form realization of floating-point LTI-FIR systems
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
di/dt Noise in CMOS Integrated Circuits
Analog Integrated Circuits and Signal Processing - Special issue: analog design issues in digital VSLI circuits and systems
Low power scalable encryption for wireless systems
Wireless Networks - Special issue VLSI in wireless networks
Finite state machine decomposition for low power
DAC '98 Proceedings of the 35th annual Design Automation Conference
3D CMOS SOL for high performance computing
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Power-delay tradeoffs for radix-4 and radix-8 dividers
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
True single-phase energy-recovering logic for low-power, high-speed VLSI
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
System-level power estimation and optimization
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Memory modeling for system synthesis
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Voltage scheduling problem for dynamically variable voltage processors
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Low power logic synthesis under a general delay model
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
The logarithmic number system for strength reduction in adaptive filtering
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
The Conceptual-Level Design Approach to Complex Systems
Journal of VLSI Signal Processing Systems - Special issue on systematic trade-off analysis in signal processing systems design
Trade-Off Analysis of a Low-Power Image Coding Algorithm
Journal of VLSI Signal Processing Systems - Special issue on systematic trade-off analysis in signal processing systems design
Dynamic power management of electronic systems
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Issues in embedded DRAM development and applications
Proceedings of the 11th international symposium on System synthesis
Low-Energy Digit-Serial/Parallel Finite Field Multipliers
Journal of VLSI Signal Processing Systems - Special issue on application specific systems, architectures and processors
IEEE Transactions on Computers
Battery-powered digital CMOS design
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Dynamic power management for non-stationary service requests
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Automated phase assignment for the synthesis of low power domino circuits
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Dynamic power management based on continuous-time Markov decision processes
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Design considerations for battery-powered electronics
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Stochastic modeling of a power-managed system: construction and optimization
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Databus charge recovery: practical considerations
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
System-level power optimization: techniques and tools
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Power analysis of embedded operating systems
Proceedings of the 37th Annual Design Automation Conference
Dynamic power management of complex systems using generalized stochastic Petri nets
Proceedings of the 37th Annual Design Automation Conference
Run-time voltage hopping for low-power real-time systems
Proceedings of the 37th Annual Design Automation Conference
Energy-driven integrated hardware-software optimizations using SimplePower
Proceedings of the 27th annual international symposium on Computer architecture
Dynamic power management using adaptive learning tree
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
DCT-Domain Embedded Memory Compression for Hybrid Video Coders
Journal of VLSI Signal Processing Systems - Special issue on recent advances in the design and implementation of signal processing systems
Stability of long-lived consensus (extended abstract)
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
Efficient power co-estimation techniques for system-on-chip design
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Automating RT-level operand isolation to minimize power consumption in datapaths
DATE '00 Proceedings of the conference on Design, automation and test in Europe
DATE '00 Proceedings of the conference on Design, automation and test in Europe
An asynchronous matrix-vector multiplier for discrete cosine transform
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Minimized Power Consumption for Scan-Based BIST
Journal of Electronic Testing: Theory and Applications - special issue on the European test workshop 1999
Temperature effect on delay for low voltage applications
Proceedings of the conference on Design, automation and test in Europe
High speed and ultra-low power 16×16 MAC deisgn using TG techniques for web-based multimedia system
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Low-power design methodology and applications utilizing dual supply voltages
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Run-time power control scheme using software feedback loop for low-power real-time application
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
An interleaved dual-battery power supply for battery-operated electronics
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Practical low-cost CPL implementations threshold logic functions
GLSVLSI '01 Proceedings of the 11th Great Lakes symposium on VLSI
Analysis and optimization of thermal issues in high-performance VLSI
Proceedings of the 2001 international symposium on Physical design
Reducing cache engery through dual voltage supply
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Low power implementation of a turbo-decoder on programmable architectures
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
G-vector: A New Model for Glitch Analysis in Logic Circuits
Journal of VLSI Signal Processing Systems
Input space adaptive design: a high-level methodology for energy and performance optimization
Proceedings of the 38th annual Design Automation Conference
PASTE '01 Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Theory and practical implementation of harmonic resonant rail driver
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
A Survey of Energy Efficient Network Protocols for Wireless Networks
Wireless Networks
Energy-efficient instruction cache using page-based placement
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Energy-delay efficiency of VLSI computations
Proceedings of the 12th ACM Great Lakes symposium on VLSI
Power estimation in adiabatic circuits: a simple and accurate model
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Characterization of memory energy behavior
Workload characterization of emerging computer applications
Energy-conscious compilation based on voltage scaling
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Task scheduling and voltage selection for energy minimization
Proceedings of the 39th annual Design Automation Conference
An energy saving strategy based on adaptive loop parallelization
Proceedings of the 39th annual Design Automation Conference
Communication architecture based power management for battery efficient system design
Proceedings of the 39th annual Design Automation Conference
Efficient estimation of signal transition activity in MAC architectures
Proceedings of the 2002 international symposium on Low power electronics and design
Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits
IEEE Transactions on Computers
Reducing Switching Activity of Subtraction via Variable Truncation of the Most-Significant Bits
Journal of VLSI Signal Processing Systems
Speech Recognition Experiments with Silicon Auditory Models
Analog Integrated Circuits and Signal Processing
Phase Detectors/Phase Frequency Detectors for High Performance PLLs
Analog Integrated Circuits and Signal Processing
Bus optimization for low-power data path synthesis based on network flow method
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Coupled analysis of electromigration reliability and performance in ULSI signal nets
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Transient power management through high level synthesis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Cosimulation-based power estimation for system-on-chip design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Extended TSPC structures with double input/output data throughput for gigahertz CMOS circuit design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Design theory and implementation for low-power segmented bus systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Energy Metric for Software Systems
Software Quality Control
Low Power Rake Receiver and Viterbi Decoder Design for CDMA Applications
Wireless Personal Communications: An International Journal
Optimizing Power in ASIC Behavioral Synthesis
IEEE Design & Test
Power-/Energy Efficient BIST Schemes for Processor Data Paths
IEEE Design & Test
Communication-Based Power Management
IEEE Design & Test
Dynamic Power Management for Nonstationary Service Requests
IEEE Transactions on Computers
3D thermal-ADI: an efficient chip-level transient thermal simulator
Proceedings of the 2003 international symposium on Physical design
Energy-performance trade-offs for spatial access methods on memory-resident data
The VLDB Journal — The International Journal on Very Large Data Bases
Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework
IEEE Transactions on Computers
Partitioned instruction cache architecture for energy efficiency
ACM Transactions on Embedded Computing Systems (TECS)
Ada-Europe '02 Proceedings of the 7th Ada-Europe International Conference on Reliable Software Technologies
Enhancing Compiler Techniques for Memory Energy Optimizations
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Scalable Applications for Energy-Aware Processors
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Reordering Memory Bus Transactions for Reduced Power Consumption
LCTES '00 Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers, and Tools for Embedded Systems
Data-Reuse and Parallel Embedded Architectures for Low-Power, Real-Time Multimedia Applications
PATMOS '00 Proceedings of the 10th International Workshop on Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation
Early Power Estimation for System-on-Chip Designs
PATMOS '00 Proceedings of the 10th International Workshop on Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation
Logarithmic Number System for Low-Power Arithmetic
PATMOS '00 Proceedings of the 10th International Workshop on Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation
Exploiting Metal Layer Characteristics for Low-Power Routing
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
An Approach to Energy Consumption Modeling in RC Ladder Circuits
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
An Optimized S-Box Circuit Architecture for Low Power AES Design
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
54x54-bit radix-4 multiplier based on modified booth algorithm
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Fast system-level power profiling for battery-efficient system design
Proceedings of the tenth international symposium on Hardware/software codesign
Dynamic management of power consumption
Power aware computing
ET2: a metric for time and energy efficiency of computation
Power aware computing
Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing
ARVLSI '99 Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
Design for Testability of Gated-Clock FSMs
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Ultra low power digital signal processing
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Algorithms for Low Power FIR Filter Realization Using Differential Coefficients
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Low-Power Design by Hazard Filtering
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Battery-Driven System Design: A New Frontier in Low Power Design
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Transition Activity Estimation for General Correlated Data Distributions
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Memory Hierarchy Optimization of Multimedia Applications on Programmable Embedded Cores 1
ISQED '01 Proceedings of the 2nd International Symposium on Quality Electronic Design
A Reconfigurable Low-Power High-Performance Matrix Multiplier Design
ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design
Effectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies
Proceedings of the 2003 international symposium on Low power electronics and design
Minimized Power Consumption For Scan-Based Bist
ITC '99 Proceedings of the 1999 IEEE International Test Conference
Stability of long-lived consensus
Journal of Computer and System Sciences
Low-power VLSI synthesis of DSP systems
Integration, the VLSI Journal
High-level macro-modeling and estimation techniques for switching activity and power consumption
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Defect tolerance for nanocomputer architecture
Proceedings of the 2004 international workshop on System level interconnect prediction
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Power Analysis of a General Convolution Algorithm Mapped on a Linear Processor Array
Journal of VLSI Signal Processing Systems
Instruction Scheduling for Low Power
Journal of VLSI Signal Processing Systems
Combining compiler and runtime IPC predictions to reduce energy in next generation architectures
Proceedings of the 1st conference on Computing frontiers
Real-Time Imaging - Special issue on software engineering
RTL Power Optimization with Gate-Level Accuracy
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
The design of a low power asynchronous multiplier
Proceedings of the 2004 international symposium on Low power electronics and design
Low-power circuits and technology for wireless digital systems
IBM Journal of Research and Development
Resource-constrained low-power bus encoding with crosstalk delay elimination
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Power Reduction Technique in Coefficient Multiplications Through Multiplier Characterization
Journal of VLSI Signal Processing Systems
Input space adaptive design: a high-level methodology for optimizing energy and performance
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Interconnect-based system-level energy and power prediction to guide architecture exploration
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Hardware Accelerated Power Estimation
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
The impact of grain size on the efficiency of embedded SIMD image processing architectures
Journal of Parallel and Distributed Computing
Quantifying Error in Dynamic Power Estimation of CMOS Circuits
Analog Integrated Circuits and Signal Processing
Reducing Power with Performance Constraints for Parallel Sparse Applications
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11 - Volume 12
Dual-transition glitch filtering in probabilistic waveform power estimation
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Genetic Approach to Minimizing Energy Consumption of VLSI Processors Using Multiple Supply Voltages
IEEE Transactions on Computers
Scaling trends in adiabatic logic
Proceedings of the 2nd conference on Computing frontiers
Variations-aware low-power design with voltage scaling
Proceedings of the 42nd annual Design Automation Conference
Instantaneous current modeling in a complex VLIW processor core
ACM Transactions on Embedded Computing Systems (TECS)
Efficient asynchronous bundled-data pipelines for DCT matrix-vector multiplication
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Compiler-directed high-level energy estimation and optimization
ACM Transactions on Embedded Computing Systems (TECS)
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Two-phase resonant clocking for ultra-low-power hearing aid applications
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Reducing dynamic and leakage energy in VLIW architectures
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic voltage scaling techniques for power efficient video decoding
Journal of Systems Architecture: the EUROMICRO Journal
Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm
Proceedings of the 43rd annual Design Automation Conference
Adaptive power saving mechanisms for DCF in IEEE 802.11
Mobile Networks and Applications
Journal of VLSI Signal Processing Systems
Power optimization in a repeater-inserted interconnect via geometric programming
Proceedings of the 2006 international symposium on Low power electronics and design
Input-specific dynamic power optimization for VLSI circuits
Proceedings of the 2006 international symposium on Low power electronics and design
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
Mixed Full Adder topologies for high-performance low-power arithmetic circuits
Microelectronics Journal
A new array architecture for signed multiplication using Gray encoded radix-2m operands
Integration, the VLSI Journal
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Statistical circuit optimization considering device andinterconnect process variations
Proceedings of the 2007 international workshop on System level interconnect prediction
Architecture-driven voltage scaling for high-throughput turbo-decoders
Journal of Embedded Computing - Low-power Embedded Systems
Inter-cluster communication in VLIW architectures
ACM Transactions on Architecture and Code Optimization (TACO)
An analysis of interconnect delay minimization by low-voltage repeater insertion
Microelectronics Journal
Low Power VLSI Design Techniques - The Current State
Integrated Computer-Aided Engineering
Clocking structures and power analysis for nanomagnet-based logic devices
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
The Journal of Supercomputing
Voltage-mode driver preemphasis technique for on-chip global buses
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy optimization of multiprocessor systems on chip by voltage selection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
InfoPad - past, present and future
ACM SIGMOBILE Mobile Computing and Communications Review
Variations-aware low-power design and block clustering with voltage scaling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy-optimizing source code transformations for operating system-driven embedded software
ACM Transactions on Embedded Computing Systems (TECS)
Energy efficient scheduling for parallel applications on mobile clusters
Cluster Computing
High-level safety mechanisms for safety-critical application-specific low power devices
ICCOMP'05 Proceedings of the 9th WSEAS International Conference on Computers
Stochastic DVS-based dynamic power management for soft real-time systems
Microprocessors & Microsystems
Temperature-aware voltage selection for energy optimization
Proceedings of the conference on Design, automation and test in Europe
Average Binary Long-Lived Consensus: Quantifying the Stabilizing Role Played by Memory
SIROCCO '08 Proceedings of the 15th international colloquium on Structural Information and Communication Complexity
Hybrid Super/Subthreshold Design of a Low Power Scalable-Throughput FFT Architecture
HiPEAC '09 Proceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers
Electronic Notes in Theoretical Computer Science (ENTCS)
Minimizing CPU energy in real-time systems with discrete speed management
ACM Transactions on Embedded Computing Systems (TECS)
The Collatz Problem in a New Perspective: Energy Consumption Analysis
Proceedings of the 2005 conference on New Trends in Software Methodologies, Tools and Techniques: Proceedings of the fourth SoMeT_W05
Proceedings of the 46th Annual Design Automation Conference
A DVS-based pipelined reconfigurable instruction memory
Proceedings of the 46th Annual Design Automation Conference
Variable input delay CMOS logic for low power design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Delay and power management of voltage-scaled repeater driven long interconnects
International Journal of Modelling and Simulation
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Average long-lived binary consensus: Quantifying the stabilizing role played by memory
Theoretical Computer Science
An integrated heuristic approach to power-aware real-time scheduling
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
The implementation of 2D FFT using multiple topology on 4 × 4 torus
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Mixed style of low power multiplexer design for arithmetic architectures using 90nm technology
ICNVS'10 Proceedings of the 12th international conference on Networking, VLSI and signal processing
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Design and implementation of low-power ANSI S1.11 filter bank for digital hearing aids
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Energy minimization for real-time systems with non-convex and discrete operation modes
Proceedings of the Conference on Design, Automation and Test in Europe
Quasi-static voltage scaling for energy minimization with time constraints
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A hierarchical design of high performance 8x8 bit multiplier based on Vedic mathematics
Proceedings of the 2011 International Conference on Communication, Computing & Security
POMR: a power-aware interconnect optimization methodology
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A review of 0.18-µm full adder performances for tree structured arithmetic circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
ICC'06 Proceedings of the 10th WSEAS international conference on Circuits
CONTROL'05 Proceedings of the 2005 WSEAS international conference on Dynamical systems and control
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The optimal strategy for the average long-lived consensus
CSR'11 Proceedings of the 6th international conference on Computer science: theory and applications
Energy estimator for weather forecasts dynamic power management of wireless sensor networks
PATMOS'11 Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation
Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design
NANOARCH '11 Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures
New low-power tristate circuits in positive feedback source-coupled logic
Journal of Electrical and Computer Engineering
An enhanced energy saving scheme in mobile broadband wireless access systems
WASA'06 Proceedings of the First international conference on Wireless Algorithms, Systems, and Applications
A dynamic energy conservation scheme for clusters in computing centers
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Memory access aware on-line voltage control for performance and energy optimization
Proceedings of the International Conference on Computer-Aided Design
Low-Power MPEG-4 motion estimator design for deep sub-micron multimedia soc
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III
Low-Power video decoding for mobile multimedia applications
PCM'04 Proceedings of the 5th Pacific Rim Conference on Advances in Multimedia Information Processing - Volume Part II
Design of variable input delay gates for low dynamic power circuits
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Hybrid super/subthreshold design of a low power scalable-throughput FFT architecture
Transactions on High-Performance Embedded Architectures and Compilers IV
Average long-lived memoryless consensus: the three-value case
SIROCCO'10 Proceedings of the 17th international conference on Structural Information and Communication Complexity
Energy-Efficient Sensing with the Low Power, Energy Aware Processing (LEAP) Architecture
ACM Transactions on Embedded Computing Systems (TECS)
On the energy complexity of algorithms realized in CMOS, a graphics example
EGGH'96 Proceedings of the Eleventh Eurographics conference on Graphics Hardware
Graphics algorithms on field programmable function arrays
EGGH'96 Proceedings of the Eleventh Eurographics conference on Graphics Hardware
Low-power and high-speed design of a versatile bit-serial multiplier in finite fields GF(2m)
Integration, the VLSI Journal
Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Formal verification of architectural power intent
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Power and thermal challenges in mobile devices
Proceedings of the 19th annual international conference on Mobile computing & networking
Routability-constrained multi-bit flip-flop construction for clock power reduction
Integration, the VLSI Journal
Hi-index | 0.02 |