Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset

  • Authors:
  • Milo M. K. Martin;Daniel J. Sorin;Bradford M. Beckmann;Michael R. Marty;Min Xu;Alaa R. Alameldeen;Kevin E. Moore;Mark D. Hill;David A. Wood

  • Affiliations:
  • Univ. of Pennsylvania;Duke Univ.;Univ. of Wisconsin-Madison;Univ. of Wisconsin-Madison;Univ. of Wisconsin-Madison;Univ. of Wisconsin-Madison;Univ. of Wisconsin-Madison;Univ. of Wisconsin-Madison;Univ. of Wisconsin-Madison

  • Venue:
  • ACM SIGARCH Computer Architecture News - Special issue: dasCMP'05
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

The Wisconsin Multifacet Project has created a simulation toolset to characterize and evaluate the performance of multiprocessor hardware systems commonly used as database and web servers. We leverage an existing full-system functional simulation infrastructure (Simics [14]) as the basis around which to build a set of timing simulator modules for modeling the timing of the memory system and microprocessors. This simulator infrastructure enables us to run architectural experiments using a suite of scaled-down commercial workloads [3]. To enable other researchers to more easily perform such research, we have released these timing simulator modules as the Multifacet General Execution-driven Multiprocessor Simulator (GEMS) Toolset, release 1.0, under GNU GPL [9].