Efficient multicast schemes for 3-D Networks-on-Chip

  • Authors:
  • Xiaohang Wang;Mei Yang;Yingtao Jiang;Maurizio Palesi;Peng Liu;Terrence Mak;Nader Bagherzadeh

  • Affiliations:
  • Intelligent Chips and Systems Research Centre, Guangzhou Institute of Advanced Technology, Chinese Academy of Sciences, Guangzhou, Guangdong, PR China and Department of Information Science and Ele ...;Department of Electrical and Computer Engineering, University of Nevada, Las Vegas, USA;Department of Electrical and Computer Engineering, University of Nevada, Las Vegas, USA;University of Enna, Kore, Italy;Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, Zhejiang, PR China;Department of Computer Science and Engineering, The Chinese University of Hong Kong, Hong Kong, PR China;Electrical Engineering and Computer Science, University of California, Irvine, USA

  • Venue:
  • Journal of Systems Architecture: the EUROMICRO Journal
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

3-D Networks-on-Chip (NoCs) have been proposed as a potent solution to address both the interconnection and design complexity problems facing future System-on-Chip (SoC) designs. In this paper, two topology-aware multicast routing algorithms, Multicasting XYZ (MXYZ) and Alternative XYZ (AL+XYZ) algorithms in supporting of 3-D NoC are proposed. In essence, MXYZ is a simple dimension order multicast routing algorithm that targets 3-D NoC systems built upon regular topologies. To support multicast routing in irregular regions, AL+XYZ can be applied, where an alternative output channel is sought to forward/replicate the packets whenever the output channel determined by MXYZ is not available. To evaluate the performance of MXYZ and AL+XYZ, extensive experiments have been conducted by comparing MXYZ and AL+XYZ against a path-based multicast routing algorithm and an irregular region oriented multiple unicast routing algorithm, respectively. The experimental results confirm that the proposed MXYZ and AL+XYZ schemes, respectively, have lower latency and power consumption than the other two routing algorithms, meriting the two proposed algorithms to be more suitable for supporting multicasting in 3-D NoC systems. In addition, the hardware implementation cost of AL+XYZ is shown to be quite modest.