RT-Level Deviation-Based Grading of Functional Test Sequences

  • Authors:
  • Hongxia Fang;Krishnendu Chakrabarty;Abhijit Jas;Srinivas Patil;Chandra Tirumurti

  • Affiliations:
  • -;-;-;-;-

  • Venue:
  • VTS '09 Proceedings of the 2009 27th IEEE VLSI Test Symposium
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Functional test sequences are often used in manufacturing testing to target defects that are not detected by structural test. Therefore, it is necessary to evaluate the quality of functional test sequences. However, it is very time-consuming to evaluate the quality of functional test sequences by gate-level fault simulation. Therefore, we propose output deviations as a metric to grade functional test sequences at the register transfer (RT)-level without explicit fault simulation. Experimental results for the open-source Parwan processor and the Scheduler module of the Illinois Verilog Model (IVM) show that the deviations metric is computationally efficient and it correlates well with gate-level coverage for stuck-at, transition-delay, and bridging faults. Results also show that functional test sequences that are reordered based on output deviations provide steeper gate-level fault coverage ramp-up compared to other ordering methods.