Deterministic clock gating to eliminate wasteful activity due to wrong-path instructions in out-of-order superscalar processors¹

  • Authors:
  • Nasir Mohyuddin;Kimish Patel;Massoud Pedram

  • Affiliations:
  • Department of Electrical Engineering Systems, University of Southern California, Los Angeles, CA;Department of Electrical Engineering Systems, University of Southern California, Los Angeles, CA;Department of Electrical Engineering Systems, University of Southern California, Los Angeles, CA

  • Venue:
  • ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper we present deterministic clock gating schemes for various micro architectural blocks of a modern out-of-order superscalar processor. We propose to make use of 1) idle stages of the pipelined function units (FUs) and 2) wrong-path instruction execution during branch mis-prediction, in order to clock gate various stages of FUs. The baseline Pipelined Functional unit Clock Gating (PFCG), presented for evaluation purpose only, disables the clock on idle stages and thus results in 13.93% chip-wide energy saving. Wrong-path instruction Clock Gating (WPCG) detects wrong-path instructions in the event of branch misprediction and prevents them from being issued to the FUs, and subsequently, disables the clock of these FUs along with reducing the stress on register file and cache. Simulations demonstrate that more than 92% of all wrong-path instructions can be detected and stopped from being executed. The WPCG architecture results in 16.26% chip-wide energy savings which is 2.33% more than that of the baseline PFCG scheme.