Instruction-based reuse-distance prediction for effective cache management

  • Authors:
  • Pavlos Petoumenos;Georgios Keramidas;Stefanos Kaxiras

  • Affiliations:
  • University of Patras, Patras, Greece;University of Patras, Patras, Greece;University of Patras, Patras, Greece

  • Venue:
  • SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

The effect of caching is fully determined by the program locality or the data reuse and several cache management techniques try to base their decisions on the prediction of temporal locality in programs. However, prior work reports only rough techniques which either try to predict when a cache block loses its temporal locality or try to categorize cache items as highly or poorly temporal. In this work, we quantify the temporal characteristics of the cache block at run time by predicting the cache block reuse distances (measured in intervening cache accesses), based on the access patterns of the instructions (PCs) that touch the cache blocks. We show that an instruction-based reused distance predictor is very accurate and allows approximation of optimal replacement decisions, since we can "see" the future. We experimentally evaluate our prediction scheme in various sizes L2 caches using a subset of the most memory intensive SPEC2000 benchmarks. Our proposal obtains a significant improvement in terms of IPC over traditional LRU up to 130.6% (17.2% on average) and it also outperforms the previous state of the art proposal (namely Dynamic Insertion Policy or DIP) by up to 80.7% (15.8% on average).