An enhanced canary-based system with BIST for SRAM standby power reduction

  • Authors:
  • Jiajing Wang;Alexander Hoefler;Benton H. Calhoun

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA;Freescale Semiconductor, Austin, TX;Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

To achieve aggressive standby power reduction for static random access memory (SRAM), we have previously proposed a closed-loop VDDscaling system with canary replicas that can track global variations. In this paper, we propose several techniques to enhance the efficiency of this system for more advanced technologies. Adding dummy cells around the canary cell improves the tracking of systematic variations. A new canary circuit avoids the possibility that a canary cell may never fail because it resets into its more stable data pattern. A built-in self-test (BIST) block incorporates self-calibration of SRAM minimum standby and VDD the initial failure threshold due to intrinsic mismatch. Measurements from a new 45 nm test chip further demonstrate the function of the canary cells in smaller technology and show that adding dummy cells reduces the variation of the canary cell.