Efficient system-on-chip energy management with a segmented bloom filter

  • Authors:
  • Mrinmoy Ghosh;Emre Özer;Stuart Biles;Hsien-Hsin S. Lee

  • Affiliations:
  • ARM Ltd.;ARM Ltd.;ARM Ltd.;School of Electrical and Computer Engineering, Georgia Institute of Technology

  • Venue:
  • ARCS'06 Proceedings of the 19th international conference on Architecture of Computing Systems
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

As applications tend to grow more complex and use more memory, the demand for cache space increases. Thus embedded processors are inclined to use larger caches. Predicting a miss in a long-latency cache becomes crucial in an embedded system-on-chip(SOC) platform to perform microarchitecture-level energy management. Counting Bloom filters are simple and fast structures that can eliminate associative lookup in a huge lookup space. This paper presents an innovative segmented design of the counting Bloom filter which can save SOC energy by detecting misses aiming at a cache level before the memory. The filter presented is successful in filtering out 89% of L2 cache misses and thus helps in reducing L2 accesses by upto 30%. This reduction in L2 Cache accesses and early triggering of energy management processes lead to an overall SOC energy savings by up to 9%.