Programming Technique: An improved hash code for scatter storage
Communications of the ACM
Communications of the ACM
The organization of symbol tables
Communications of the ACM
R* optimizer validation and performance evaluation for local queries
SIGMOD '86 Proceedings of the 1986 ACM SIGMOD international conference on Management of data
Multikey access methods based on superimposed coding techniques
ACM Transactions on Database Systems (TODS)
A performance analysis of view materialization strategies
SIGMOD '87 Proceedings of the 1987 ACM SIGMOD international conference on Management of data
A quasi-distributed architecture for database management systems
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Optimal Semijoins for Distributed Database Systems
IEEE Transactions on Software Engineering
Event-join optimization in temporal relational databases
VLDB '89 Proceedings of the 15th international conference on Very large data bases
Dynamic partitioning of signature files
ACM Transactions on Information Systems (TOIS)
Join processing in relational databases
ACM Computing Surveys (CSUR)
Query evaluation techniques for large databases
ACM Computing Surveys (CSUR)
The CD-ROM foster a new data structure: the B3-tree
CSC '93 Proceedings of the 1993 ACM conference on Computer science
On the signature weight in “multiple” m signature files
ACM SIGIR Forum
PERF join: an alternative to two-way semijoin and bloomjoin
CIKM '95 Proceedings of the fourth international conference on Information and knowledge management
Cost-based optimization for magic: algebra and implementation
SIGMOD '96 Proceedings of the 1996 ACM SIGMOD international conference on Management of data
Supporting cooperative and personal surfing with a desktop assistant
Proceedings of the 10th annual ACM symposium on User interface software and technology
The IBM data warehouse architecture
Communications of the ACM
An architecture for a secure service discovery service
MobiCom '99 Proceedings of the 5th annual ACM/IEEE international conference on Mobile computing and networking
Domain vector hashing for earth system data querying
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
A practical guide to the design of differential files for recovery of on-line databases
ACM Transactions on Database Systems (TODS)
Differential files: their application to the maintenance of large databases
ACM Transactions on Database Systems (TODS)
Application of domain vector perfect hash join for multimedia data mining
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Collaborative Web caching based on proxy affinities
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Summary cache: a scalable wide-area web cache sharing protocol
IEEE/ACM Transactions on Networking (TON)
Identifier Search Mechanisms: A Survey and Generalized Model
ACM Computing Surveys (CSUR)
OceanStore: an architecture for global-scale persistent storage
ACM SIGPLAN Notices
A second look at bloom filters
Communications of the ACM
Communications of the ACM
Designing a Bloom filter for differential file access
Communications of the ACM
Detection of combined occurrences
Communications of the ACM
Adaptive correction of program statements
Communications of the ACM
OceanStore: an architecture for global-scale persistent storage
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
Proceedings of the 2001 conference on Applications, technologies, architectures, and protocols for computer communications
Technical correspondence: on Cichelli's algorithm for finding minimal perfect hash functions
Communications of the ACM
Proceedings of the twentieth annual ACM symposium on Principles of distributed computing
Geographical region summary service for geographical routing
MobiHoc '01 Proceedings of the 2nd ACM international symposium on Mobile ad hoc networking & computing
New directions in traffic measurement and accounting
IMW '01 Proceedings of the 1st ACM SIGCOMM Workshop on Internet Measurement
A survey of web caching schemes for the Internet
ACM SIGCOMM Computer Communication Review
An architecture for secure wide-area service discovery
Wireless Networks - Selected Papers from Mobicom'99
A note on proactive password checking
Proceedings of the 2001 workshop on New security paradigms
Geographical Region Summary Service for geographical routing
ACM SIGMOBILE Mobile Computing and Communications Review
Bloom filtering cache misses for accurate data speculation and prefetching
ICS '02 Proceedings of the 16th international conference on Supercomputing
The BLUE active queue management algorithms
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Unstructured data bases or very efficient text searching
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
Cluster Computing
Mercator: A scalable, extensible Web crawler
World Wide Web
IEEE/ACM Transactions on Networking (TON)
IEEE Transactions on Computers
Cost-Effective Flow Table Designs for High-Speed Routers: Architecture and Performance Evaluation
IEEE Transactions on Computers
Updating Distributed Materialized Views
IEEE Transactions on Knowledge and Data Engineering
Informed content delivery across adaptive overlay networks
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
Lightweight network support for scalable end-to-end services
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
New directions in traffic measurement and accounting
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
Agile and scalable analysis of network events
Proceedings of the 2nd ACM SIGCOMM Workshop on Internet measurment
A Flexible Concast-Based Grouping Service
IWAN '02 Proceedings of the IFIP-TC6 4th International Working Conference on Active Networks
R* Optimizer Validation and Performance Evaluation for Distributed Queries
VLDB '86 Proceedings of the 12th International Conference on Very Large Data Bases
Generalised Hash Teams for Join and Group-by
VLDB '99 Proceedings of the 25th International Conference on Very Large Data Bases
VLDB '88 Proceedings of the 14th International Conference on Very Large Data Bases
New Algorithms for Subset Query, Partial Match, Orthogonal Range Searching, and Related Problems
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Scalable Management and Data Mining Using Astrolabe
IPTPS '01 Revised Papers from the First International Workshop on Peer-to-Peer Systems
Locating Data in (Small-World?) Peer-to-Peer Scientific Collaborations
IPTPS '01 Revised Papers from the First International Workshop on Peer-to-Peer Systems
A Scalable Architecture for Cooperative Web Caching
Revised Papers from the NETWORKING 2002 Workshops on Web Engineering and Peer-to-Peer Computing
Text-Based Content Search and Retrieval in Ad-hoc P2P Communities
Revised Papers from the NETWORKING 2002 Workshops on Web Engineering and Peer-to-Peer Computing
A Novel Approach to Proactive Password Checking
InfraSec '02 Proceedings of the International Conference on Infrastructure Security
Improving the Effectiveness of Web Caching
Advances in Distributed Systems, Advanced Distributed Computing: From Algorithms to Systems
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
Frequency Estimation of Internet Packet Streams with Limited Space
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
ISC '01 Proceedings of the 4th International Conference on Information Security
Resilient Data-Centric Storage in Wireless Ad-Hoc Sensor Networks
MDM '03 Proceedings of the 4th International Conference on Mobile Data Management
ACM Transactions on Computer Systems (TOCS)
Giggle: a framework for constructing scalable replica location services
Proceedings of the 2002 ACM/IEEE conference on Supercomputing
Exploiting early sorting and early partitioning for decision support query processing
The VLDB Journal — The International Journal on Very Large Data Bases
IEEE Transactions on Mobile Computing
Make it fresh, make it quick: searching a network of personal webservers
WWW '03 Proceedings of the 12th international conference on World Wide Web
Scalable techniques for memory-efficient CDN simulations
WWW '03 Proceedings of the 12th international conference on World Wide Web
Exploiting high-level coherence information to optimize distributed shared state
Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming
Exact and approximate membership testers
STOC '78 Proceedings of the tenth annual ACM symposium on Theory of computing
Checking for spelling and typographical errors in computer-based text
Proceedings of the ACM SIGPLAN SIGOA symposium on Text manipulation
An architecture for fault tolerance in database systems
ACM '80 Proceedings of the ACM 1980 annual conference
A Decentralized, Adaptive Replica Location Mechanism
HPDC '02 Proceedings of the 11th IEEE International Symposium on High Performance Distributed Computing
Sharing Presence Information and Message Notification in an Ad Hoc Network
PERCOM '03 Proceedings of the First IEEE International Conference on Pervasive Computing and Communications
Self-Routing in Pervasive Computing Environments Using Smart Messages
PERCOM '03 Proceedings of the First IEEE International Conference on Pervasive Computing and Communications
Discussion paper: privacy-preserving distributed queries for a clinical case research network
CRPIT '14 Proceedings of the IEEE international conference on Privacy, security and data mining - Volume 14
New directions in traffic measurement and accounting: Focusing on the elephants, ignoring the mice
ACM Transactions on Computer Systems (TOCS)
Longest prefix matching using bloom filters
Proceedings of the 2003 conference on Applications, technologies, architectures, and protocols for computer communications
Proceedings of the 2003 ACM SIGMOD international conference on Management of data
Bullet: high bandwidth data dissemination using an overlay mesh
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
Peer-to-peer research at Stanford
ACM SIGMOD Record
Space-code bloom filter for efficient traffic flow measurement
Proceedings of the 3rd ACM SIGCOMM conference on Internet measurement
Scalable Hardware Memory Disambiguation for High ILP Processors
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Dynamically maintaining frequent items over a data stream
CIKM '03 Proceedings of the twelfth international conference on Information and knowledge management
Efficient query evaluation using a two-level retrieval process
CIKM '03 Proceedings of the twelfth international conference on Information and knowledge management
Towards peer-to-peer content indexing
ACM SIGOPS Operating Systems Review
An architecture for information retrieval over semi-collaborating Peer-to-Peer networks
Proceedings of the 2004 ACM symposium on Applied computing
Content-Based Routing with On-Demand Multicast
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
The Bloomier filter: an efficient data structure for static support lookup tables
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
A graduate course in database management
ACM SIGMOD Record
HYPPOCRATES: a new proactive password checker
Journal of Systems and Software
Position-based routing in ad hoc wireless networks
The handbook of ad hoc wireless networks
Succinct specifications of portable document access policies
Proceedings of the ninth ACM symposium on Access control models and technologies
Managing routing tables for URL routers in content distribution networks
International Journal of Network Management
Data streaming algorithms for efficient and accurate estimation of flow size distribution
Proceedings of the joint international conference on Measurement and modeling of computer systems
A scalable distributed information management system
Proceedings of the 2004 conference on Applications, technologies, architectures, and protocols for computer communications
Exploiting k-constraints to reduce memory overhead in continuous queries over data streams
ACM Transactions on Database Systems (TODS)
On scalable attack detection in the network
Proceedings of the 4th ACM SIGCOMM conference on Internet measurement
Payload attribution via hierarchical bloom filters
Proceedings of the 11th ACM conference on Computer and communications security
Mitigating bandwidth-exhaustion attacks using congestion puzzles
Proceedings of the 11th ACM conference on Computer and communications security
Image similarity search with compact data structures
Proceedings of the thirteenth ACM international conference on Information and knowledge management
Informed content delivery across adaptive overlay networks
IEEE/ACM Transactions on Networking (TON)
Mobile Networks and Applications
SelectCast: a scalable and self-repairing multicast overlay routing facility
Proceedings of the 2003 ACM workshop on Survivable and self-regenerative systems: in association with 10th ACM Conference on Computer and Communications Security
AccMon: Automatically Detecting Memory-Related Bugs via Program Counter-Based Invariants
Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture
Summary-based routing for content-based event distribution networks
ACM SIGCOMM Computer Communication Review
ACM Transactions on Information and System Security (TISSEC)
Toward kilo-instruction processors
ACM Transactions on Architecture and Code Optimization (TACO)
An analysis of a resource efficient checkpoint architecture
ACM Transactions on Architecture and Code Optimization (TACO)
Foreseer: a novel, locality-aware peer-to-peer system architecture for keyword searches
Proceedings of the 5th ACM/IFIP/USENIX international conference on Middleware
A Peer-to-Peer Replica Location Service Based on a Distributed Hash Table
Proceedings of the 2004 ACM/IEEE conference on Supercomputing
An Efficient Data Location Protocol for Self.organizing Storage Clusters
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
A Cache Engine for E-Content Integration
IEEE Internet Computing
Power-Aware Storage Cache Management
IEEE Transactions on Computers
Adaptive Caching for Continuous Queries
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
Bloom Filter-Based XML Packets Filtering for Millions of Path Queries
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
Two algorithms for fast reclustering of dynamic meshed surfaces
Proceedings of the 2004 Eurographics/ACM SIGGRAPH symposium on Geometry processing
Fingerprinting Relational Databases: Schemes and Specialties
IEEE Transactions on Dependable and Secure Computing
Heuristic compression of an English word list: Research Articles
Software—Practice & Experience
Duplicate detection in click streams
WWW '05 Proceedings of the 14th international conference on World Wide Web
GlobeDB: autonomic data replication for web applications
WWW '05 Proceedings of the 14th international conference on World Wide Web
A note on the application of differential files to computer aided design
ACM SIGDA Newsletter
Provable bounds for portable and flexible privacy-preserving access
Proceedings of the tenth ACM symposium on Access control models and technologies
A data streaming algorithm for estimating subpopulation flow size distribution
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
A robust system for accurate real-time summaries of internet traffic
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Empirical evaluation of multi-level buffer cache collaboration for storage systems
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Efficient algorithms for large-scale topology discovery
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Efficient on-line identification of hot data for flash-memory management
Proceedings of the 2005 ACM symposium on Applied computing
Dynamic group communication in mobile peer-to-peer environments
Proceedings of the 2005 ACM symposium on Applied computing
RegionScout: Exploiting Coarse Grain Sharing in Snoop-Based Coherence
Proceedings of the 32nd annual international symposium on Computer Architecture
Scalable Load and Store Processing in Latency Tolerant Processors
Proceedings of the 32nd annual international symposium on Computer Architecture
Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization
Proceedings of the 32nd annual international symposium on Computer Architecture
Virtualizing Transactional Memory
Proceedings of the 32nd annual international symposium on Computer Architecture
An optimal Bloom filter replacement
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Distributed group-based cooperative caching in a mobile broadcast environment
Proceedings of the 6th international conference on Mobile data management
Group management for mobile Ad Hoc networks: design, implementation and experiment
Proceedings of the 6th international conference on Mobile data management
Data dissemination in mobile peer-to-peer networks
Proceedings of the 6th international conference on Mobile data management
Efficient lookup on unstructured topologies
Proceedings of the twenty-fourth annual ACM symposium on Principles of distributed computing
Improving collection selection with overlap awareness in P2P search engines
Proceedings of the 28th annual international ACM SIGIR conference on Research and development in information retrieval
Fast hash table lookup using extended bloom filter: an aid to network processing
Proceedings of the 2005 conference on Applications, technologies, architectures, and protocols for computer communications
Hotspot-based traceback for mobile ad hoc networks
Proceedings of the 4th ACM workshop on Wireless security
KLEE: a framework for distributed top-k query algorithms
VLDB '05 Proceedings of the 31st international conference on Very large data bases
REED: robust, efficient filtering and event detection in sensor networks
VLDB '05 Proceedings of the 31st international conference on Very large data bases
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Mutable strings in Java: design, implementation and lightweight text-search algorithms
Science of Computer Programming - Special issue on principles and practice of programming in java (PPPJ 2003)
Block-Level Security for Network-Attached Disks
FAST '03 Proceedings of the 2nd USENIX Conference on File and Storage Technologies
Segmented hash: an efficient hash table implementation for high performance networking subsystems
Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems
Minimizing the overhead in implementing flow-aware networking
Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems
Fast and scalable pattern matching for content filtering
Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems
Location based placement of whole distributed systems
CoNEXT '05 Proceedings of the 2005 ACM conference on Emerging network experiment and technology
Balancing performance and confidentiality in air index
Proceedings of the 14th ACM international conference on Information and knowledge management
A Private, Secure, and User-Centric Information Exposure Model for Service Discovery Protocols
IEEE Transactions on Mobile Computing
Improvements to technology mapping for LUT-based FPGAs
Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays
Configurability of performance and overheads in flash management
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Probabilistic file indexing and searching in unstructured peer-to-peer networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
ACM SIGMOD Record
CAPTRA: coordinated packet traceback
Proceedings of the 5th international conference on Information processing in sensor networks
Novel Hybrid Schemes Employing Packet Marking and Logging for IP Traceback
IEEE Transactions on Parallel and Distributed Systems
SDAP: a secure hop-by-Hop data aggregation protocol for sensor networks
Proceedings of the 7th ACM international symposium on Mobile ad hoc networking and computing
Self-organization in peer-to-peer systems
EW 10 Proceedings of the 10th workshop on ACM SIGOPS European workshop
Scalable strong consistency for web applications
Proceedings of the 11th workshop on ACM SIGOPS European workshop
FeedEx: collaborative exchange of news feeds
Proceedings of the 15th international conference on World Wide Web
To randomize or not to randomize: space optimal summaries for hyperlink analysis
Proceedings of the 15th international conference on World Wide Web
Efficient query subscription processing for prospective search engines
Proceedings of the 15th international conference on World Wide Web
FLUX: fuzzy content and structure matching of XML range queries
Proceedings of the 15th international conference on World Wide Web
Chisel: A Storage-efficient, Collision-free Hash-based Network Processing Architecture
Proceedings of the 33rd annual international symposium on Computer Architecture
Bulk Disambiguation of Speculative Threads in Multiprocessors
Proceedings of the 33rd annual international symposium on Computer Architecture
Proceedings of the 33rd annual international symposium on Computer Architecture
Flexible Snooping: Adaptive Forwarding and Filtering of Snoops in Embedded-Ring Multiprocessors
Proceedings of the 33rd annual international symposium on Computer Architecture
Efficient identification of hot data for flash memory storage systems
ACM Transactions on Storage (TOS)
A novel approach to detecting DDoS Attacks at an Early Stage
The Journal of Supercomputing
An approximation to the greedy algorithm for differential compression
IBM Journal of Research and Development - Spintronics
Cooperative peer groups in NICE
Computer Networks: The International Journal of Computer and Telecommunications Networking - Management in peer-to-peer systems
Adaptive schemes for distributed web caching
Journal of Parallel and Distributed Computing
Fast statistical spam filter by approximate classifications
SIGMETRICS '06/Performance '06 Proceedings of the joint international conference on Measurement and modeling of computer systems
Template detection for large scale search engines
Proceedings of the 2006 ACM symposium on Applied computing
Finding global icebergs over distributed data sets
Proceedings of the twenty-fifth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Approximately detecting duplicates for streaming data using stable bloom filters
Proceedings of the 2006 ACM SIGMOD international conference on Management of data
A light-weight, collaborative temporary file system for clustered Web servers
Journal of Parallel and Distributed Computing - Special issue: 18th International parallel and distributed processing symposium
Bayesian pattern ranking for move prediction in the game of Go
ICML '06 Proceedings of the 23rd international conference on Machine learning
The CQL continuous query language: semantic foundations and query execution
The VLDB Journal — The International Journal on Very Large Data Bases
Scalable p2p based RDF querying
InfoScale '06 Proceedings of the 1st international conference on Scalable information systems
Random early detection with flow number estimation and queue length feedback control
Journal of Systems Architecture: the EUROMICRO Journal
Cost-based optimization in DB2 XML
IBM Systems Journal
Succinct representation of flexible and privacy-preserving access rights
The VLDB Journal — The International Journal on Very Large Data Bases
Exploiting Geographical and Temporal Locality to Boost Search Efficiency in Peer-to-Peer Systems
IEEE Transactions on Parallel and Distributed Systems
Detecting and Isolating Malicious Routers
IEEE Transactions on Dependable and Secure Computing
Beyond bloom filters: from approximate membership checks to approximate state machines
Proceedings of the 2006 conference on Applications, technologies, architectures, and protocols for computer communications
Proceedings of the 2006 conference on Applications, technologies, architectures, and protocols for computer communications
Location independent compact routing for wireless networks
MobiShare '06 Proceedings of the 1st international workshop on Decentralized resource sharing in mobile computing and networking
Privacy-preserving payload-based correlation for accurate malicious traffic detection
Proceedings of the 2006 SIGCOMM workshop on Large-scale attack defense
A flexible concast-based grouping service
Computer Networks: The International Journal of Computer and Telecommunications Networking - Active networks
Efficient and decentralized PageRank approximation in a peer-to-peer web search network
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Approximate encoding for direct access and query processing over compressed bitmaps
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
An integrated efficient solution for computing frequent and top-k elements in data streams
ACM Transactions on Database Systems (TODS)
Contour maps: monitoring and diagnosis in sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Reducing energy of virtual cache synonym lookup using bloom filters
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
A privacy-preserving interdomain audit framework
Proceedings of the 5th ACM workshop on Privacy in electronic society
A resilient packet-forwarding scheme against maliciously packet-dropping nodes in sensor networks
Proceedings of the fourth ACM workshop on Security of ad hoc and sensor networks
Searchable symmetric encryption: improved definitions and efficient constructions
Proceedings of the 13th ACM conference on Computer and communications security
Effective fair allocation using smart market label auction with CSLF and CR-CSFQ
Journal of Systems and Software - Special issue: Quality software
Inferring binary trust relationships in Web-based social networks
ACM Transactions on Internet Technology (TOIT)
Efficient peer-to-peer semantic overlay networks based on statistical language models
P2PIR '06 Proceedings of the international workshop on Information retrieval in peer-to-peer networks
Discovering and exploiting keyword and attribute-value co-occurrences to improve P2P routing indices
CIKM '06 Proceedings of the 15th ACM international conference on Information and knowledge management
A dictionary for approximate string search and longest prefix search
CIKM '06 Proceedings of the 15th ACM international conference on Information and knowledge management
Efficient memory utilization on network processors for deep packet inspection
Proceedings of the 2006 ACM/IEEE symposium on Architecture for networking and communications systems
Distributed Selfish Replication
IEEE Transactions on Parallel and Distributed Systems
Securing Mobile Ad Hoc Networks with Certificateless Public Keys
IEEE Transactions on Dependable and Secure Computing
Small World Architecture for Peer-to-Peer Networks
WI-IATW '06 Proceedings of the 2006 IEEE/WIC/ACM international conference on Web Intelligence and Intelligent Agent Technology
Managing Distributed, Shared L2 Caches through OS-Level Page Allocation
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
Survey of network-based defense mechanisms countering the DoS and DDoS problems
ACM Computing Surveys (CSUR)
The shunt: an FPGA-based accelerator for network intrusion prevention
Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays
Longest prefix matching using bloom filters
IEEE/ACM Transactions on Networking (TON)
Experiences in building and operating ePOST, a reliable peer-to-peer application
Proceedings of the 1st ACM SIGOPS/EuroSys European Conference on Computer Systems 2006
OSDA: Open service discovery architecture for efficient cross-domain service provisioning
Computer Communications
Information Processing Letters
p2pDating: Real life inspired semantic overlay networks for Web search
Information Processing and Management: an International Journal
Persistent detection and recovery of state inconsistencies
Computer Networks: The International Journal of Computer and Telecommunications Networking
Survey of research towards robust peer-to-peer networks: search methods
Computer Networks: The International Journal of Computer and Telecommunications Networking
Exploiting client caches to build large Web caches
The Journal of Supercomputing
On the use of Bloom filters for defect maps in nanocomputing
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
An efficient adaptive strategy for searching in peer-to-peer networks
Multiagent and Grid Systems
MiniSec: a secure sensor network communication architecture
Proceedings of the 6th international conference on Information processing in sensor networks
On scalable attack detection in the network
IEEE/ACM Transactions on Networking (TON)
Detectives: detecting coalition hit inflation attacks in advertising networks streams
Proceedings of the 16th international conference on World Wide Web
Self-organizing broker topologies for publish/subscribe systems
Proceedings of the 2007 ACM symposium on Applied computing
Query optimizing on a decentralized web search engine
Proceedings of the 2007 ACM symposium on Applied computing
Achieving multipoint-to-multipoint fairness with RCNWA
Journal of Systems Architecture: the EUROMICRO Journal
Progressive and selective merge: computing top-k with ad-hoc ranking functions
Proceedings of the 2007 ACM SIGMOD international conference on Management of data
GhostDB: querying visible and hidden data without leaks
Proceedings of the 2007 ACM SIGMOD international conference on Management of data
An effective hybrid transactional memory system with strong isolation guarantees
Proceedings of the 34th annual international symposium on Computer architecture
BulkSC: bulk enforcement of sequential consistency
Proceedings of the 34th annual international symposium on Computer architecture
TAPER: tiered approach for eliminating redundancy in replica synchronization
FAST'05 Proceedings of the 4th conference on USENIX Conference on File and Storage Technologies - Volume 4
Joint data streaming and sampling techniques for detection of super sources and destinations
IMC '05 Proceedings of the 5th ACM SIGCOMM conference on Internet Measurement
Very fast containment of scanning worms
SSYM'04 Proceedings of the 13th conference on USENIX Security Symposium - Volume 13
Mapping internet sensors with probe response attacks
SSYM'05 Proceedings of the 14th conference on USENIX Security Symposium - Volume 14
Understanding Tradeoffs in Software Transactional Memory
Proceedings of the International Symposium on Code Generation and Optimization
Building high accuracy bloom filters using partitioned hashing
Proceedings of the 2007 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
pFusion: A P2P Architecture for Internet-Scale Content-Based Search and Retrieval
IEEE Transactions on Parallel and Distributed Systems
Bigtable: a distributed storage system for structured data
OSDI '06 Proceedings of the 7th USENIX Symposium on Operating Systems Design and Implementation - Volume 7
Safely delegating data mining tasks
AusDM '06 Proceedings of the fifth Australasian conference on Data mining and analystics - Volume 61
An improved construction for counting bloom filters
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Fault-tolerant peer-to-peer search on small-world networks
Future Generation Computer Systems
Truth in advertising: lightweight verification of route integrity
Proceedings of the twenty-sixth annual ACM symposium on Principles of distributed computing
Probabilistic fingerprints for shapes
SGP '06 Proceedings of the fourth Eurographics symposium on Geometry processing
Stateless key distribution for secure intra and inter-group multicast in mobile wireless network
Computer Networks: The International Journal of Computer and Telecommunications Networking
High-Speed Dynamic Packet Filtering
Journal of Network and Systems Management
Detecting the presence of nodes in MANETs
Proceedings of the second ACM workshop on Challenged networks
Weak state routing for large scale dynamic networks
Proceedings of the 13th annual ACM international conference on Mobile computing and networking
Proceedings of the international workshop on Workshop on multimedia information retrieval
Star join revisited: Performance internals for cluster architectures
Data & Knowledge Engineering
Statistical models for Gnutella signaling traffic
Computer Networks: The International Journal of Computer and Telecommunications Networking
A secure incentive protocol for mobile ad hoc networks
Wireless Networks
A network mitigation system against distributed denial of service: a linux-based prototype
IMSA'07 IASTED European Conference on Proceedings of the IASTED European Conference: internet and multimedia systems and applications
Modified collision packet classification using counting Bloom filter in tuple space
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
Biosequence Similarity Search on the Mercury System
Journal of VLSI Signal Processing Systems
Modeling service discovery in ad-hoc networks
Proceedings of the 4th ACM workshop on Performance evaluation of wireless ad hoc, sensor,and ubiquitous networks
Bigtable: a distributed storage system for structured data
OSDI '06 Proceedings of the 7th symposium on Operating systems design and implementation
Private and Secure Service Discovery via Progressive and Probabilistic Exposure
IEEE Transactions on Parallel and Distributed Systems
Robust and efficient detection of DDoS attacks for large-scale internet
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 14th ACM conference on Computer and communications security
Highly efficient techniques for network forensics
Proceedings of the 14th ACM conference on Computer and communications security
Maximizing the output rate of multi-way join queries over streaming information sources
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Locating data sources in large distributed systems
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Privacy-preserving indexing of documents on the network
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Bloom histogram: path selectivity estimation for XML data with updates
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
The case for precision sharing
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
High performance index build algorithms for intranet search engines
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
DPICO: a high speed deep packet inspection engine using compact finite automata
Proceedings of the 3rd ACM/IEEE Symposium on Architecture for networking and communications systems
Preserving privacy in association rule mining with bloom filters
Journal of Intelligent Information Systems
GhostDB: hiding data from prying eyes
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Combining static and dynamic defect-tolerance techniques for nanoscale memory systems
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Language classification using n-grams accelerated by FPGA-based Bloom filters
HPRCTA '07 Proceedings of the 1st international workshop on High-performance reconfigurable computing technology and applications: held in conjunction with SC07
High-bandwidth data dissemination for large-scale distributed systems
ACM Transactions on Computer Systems (TOCS)
Deep network packet filter design for reconfigurable devices
ACM Transactions on Embedded Computing Systems (TECS)
Enhanced P2P services providing multimedia content
Advances in Multimedia
Scalable tag search in social network applications
Computer Communications
SPICE: Scalable P2P implicit group messaging
Computer Communications
Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
SoftSig: software-exposed hardware signatures for code analysis and optimization
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
Why simple hash functions work: exploiting the entropy in a data stream
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Pollution attacks and defenses for Internet caching systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
Defending against false-endorsement-based dos attacks in wireless sensor networks
WiSec '08 Proceedings of the first ACM conference on Wireless network security
Using mobile ad hoc networks to acquire digital evidence from remote autonomous agents
International Journal of Security and Networks
Single packet IP traceback in AS-level partial deployment scenario
International Journal of Security and Networks
Design and analysis of a multipacket signature detection system
International Journal of Security and Networks
A taxonomy of internet traceback
International Journal of Security and Networks
International Journal of Information and Computer Security
Evaluating the partial deployment of an AS-level IP traceback system
Proceedings of the 2008 ACM symposium on Applied computing
Hyperion: high volume stream archival for retrospective querying
ATC'07 2007 USENIX Annual Technical Conference on Proceedings of the USENIX Annual Technical Conference
Load shedding in network monitoring applications
ATC'07 2007 USENIX Annual Technical Conference on Proceedings of the USENIX Annual Technical Conference
Avoiding the disk bottleneck in the data domain deduplication file system
FAST'08 Proceedings of the 6th USENIX Conference on File and Storage Technologies
A novel caching mechanism for peer-to-peer based media-on-demand streaming
Journal of Systems Architecture: the EUROMICRO Journal
Bigtable: A Distributed Storage System for Structured Data
ACM Transactions on Computer Systems (TOCS)
Exact multi-pattern string matching on the cell/b.e. processor
Proceedings of the 5th conference on Computing frontiers
Efficient multi-keyword search over p2p web
Proceedings of the 17th international conference on World Wide Web
A general model of probabilistic packet marking for IP traceback
Proceedings of the 2008 ACM symposium on Information, computer and communications security
CoNEXT '06 Proceedings of the 2006 ACM CoNEXT conference
Passive network forensics: behavioural classification of network hosts based on connection patterns
ACM SIGOPS Operating Systems Review
Trajectory sampling with unreliable reporting
IEEE/ACM Transactions on Networking (TON)
Simple summaries for hashing with choices
IEEE/ACM Transactions on Networking (TON)
ACM SIGMOBILE Mobile Computing and Communications Review
Cooperation among peers in an ad hoc network to support an energy efficient IM service
Pervasive and Mobile Computing
Counter braids: a novel counter architecture for per-flow measurement
SIGMETRICS '08 Proceedings of the 2008 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
An efficient filter for approximate membership checking
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Dynamic adaptive data structures for monitoring data streams
Data & Knowledge Engineering
Resource discovery in federated systems with voluntary sharing
Proceedings of the 2007 ACM/IFIP/USENIX international conference on Middleware companion
EVEY: enhancing privacy of service discovery in pervasive computing
Proceedings of the 2007 ACM/IFIP/USENIX international conference on Middleware companion
RingSTM: scalable transactions with a single atomic instruction
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Dreadlocks: efficient deadlock detection
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
SDAP: A Secure Hop-by-Hop Data Aggregation Protocol for Sensor Networks
ACM Transactions on Information and System Security (TISSEC)
Flexible Decoupled Transactional Memory Support
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Atom-Aid: Detecting and Surviving Atomicity Violations
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
3D-Stacked Memory Architectures for Multi-core Processors
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
A Two-Level Load/Store Queue Based on Execution Locality
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
StoreGPU: exploiting graphics processing units to accelerate distributed storage systems
HPDC '08 Proceedings of the 17th international symposium on High performance distributed computing
DIMM: a distributed metadata management for data-intensive HPC environments
DADC '08 Proceedings of the 2008 international workshop on Data-aware distributed computing
A survey on resource discovery mechanisms, peer-to-peer and service discovery frameworks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Acimn protocol: a protocol for anonymous communication in multi hop wireless networks
AISC '08 Proceedings of the sixth Australasian conference on Information security - Volume 81
Bloom filter based routing for content-based publish/subscribe
Proceedings of the second international conference on Distributed event-based systems
Ostra: leveraging trust to thwart unwanted communication
NSDI'08 Proceedings of the 5th USENIX Symposium on Networked Systems Design and Implementation
Phalanx: withstanding multimillion-node botnets
NSDI'08 Proceedings of the 5th USENIX Symposium on Networked Systems Design and Implementation
Exploiting correlated keywords to improve approximate information filtering
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
A stateless approach to connection-oriented protocols
ACM Transactions on Computer Systems (TOCS)
Optimizing data popularity conscious bloom filters
Proceedings of the twenty-seventh ACM symposium on Principles of distributed computing
Entity categorization over large document collections
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Meta methods for model sharing in personal information systems
ACM Transactions on Information Systems (TOIS)
Fast track article: Dynamic filter merging and mergeability detection for publish/subscribe
Pervasive and Mobile Computing
An efficient nonuniform index in the wireless broadcast environments
Journal of Systems and Software
On the false-positive rate of Bloom filters
Information Processing Letters
Content-based search using self-organizing peer-to-peer network
SEPADS'08 Proceedings of the 7th WSEAS International Conference on Software Engineering, Parallel and Distributed Systems
Query Processing to Efficient Search in Ubiquitous Computing
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
A Study of Malcode-Bearing Documents
DIMVA '07 Proceedings of the 4th international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
Efficient E-Matching for SMT Solvers
CADE-21 Proceedings of the 21st international conference on Automated Deduction: Automated Deduction
Image Data Source Selection Using Gaussian Mixture Models
Adaptive Multimedial Retrieval: Retrieval, User, and Semantics
Effective Skyline Cardinality Estimation on Data Streams
DEXA '08 Proceedings of the 19th international conference on Database and Expert Systems Applications
Bloomier Filters: A Second Look
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Behavior-Based Network Access Control: A Proof-of-Concept
ISC '08 Proceedings of the 11th international conference on Information Security
Optimum Identification of Worm-Infected Hosts
IPOM '08 Proceedings of the 8th IEEE international workshop on IP Operations and Management
An Evolutionary Perspective on Approximate RDF Query Answering
SUM '08 Proceedings of the 2nd international conference on Scalable Uncertainty Management
Protecting business intelligence and customer privacy while outsourcing data mining tasks
Knowledge and Information Systems
Proceedings of the 17th international conference on Parallel architectures and compilation techniques
SLEUTH: Single-pubLisher attack dEtection Using correlaTion Hunting
Proceedings of the VLDB Endowment
XTreeNet: democratic community search
Proceedings of the VLDB Endowment
The eternal sunshine of the sketch data structure
Computer Networks: The International Journal of Computer and Telecommunications Networking
Building castles out of mud: practical access pattern privacy and correctness on untrusted storage
Proceedings of the 15th ACM conference on Computer and communications security
When cryptography meets storage
Proceedings of the 4th ACM international workshop on Storage security and survivability
Thrifty BTB: A comprehensive solution for dynamic power reduction in branch target buffers
Microprocessors & Microsystems
Modeling the performance of algorithms on flash memory devices
Proceedings of the 4th international workshop on Data management on new hardware
Content-based filtering for efficient online materialized view maintenance
Proceedings of the 17th ACM conference on Information and knowledge management
TinyLex: static n-gram index pruning with perfect recall
Proceedings of the 17th ACM conference on Information and knowledge management
Exploiting pipeline interruptions for efficient memory allocation
Proceedings of the 17th ACM conference on Information and knowledge management
Adaptive distributed indexing for structured peer-to-peer networks
Proceedings of the 17th ACM conference on Information and knowledge management
Cache-aware load balancing for question answering
Proceedings of the 17th ACM conference on Information and knowledge management
PBFilter: indexing flash-resident data through partitioned summaries
Proceedings of the 17th ACM conference on Information and knowledge management
Managing collaborative feedback information for distributed retrieval
Proceedings of the 2008 ACM workshop on Large-Scale distributed systems for information retrieval
Caching of intermediate results in DHT-based RDF stores
International Journal of Metadata, Semantics and Ontologies
Efficient query routing by improved peer description in P2P networks
Proceedings of the 3rd international conference on Scalable information systems
A heterogeneity-aware framework for group key management in wireless mesh networks
Proceedings of the 4th international conference on Security and privacy in communication netowrks
Efficient Handling of Adversary Attacks in Aggregation Applications
ESORICS '08 Proceedings of the 13th European Symposium on Research in Computer Security: Computer Security
A tamper-resistant and portable healthcare folder
International Journal of Telemedicine and Applications - Pervasive Health Care Services and Technologies
A protocol for self-organizing peer-to-peer network supporting content-based search
WSEAS Transactions on Information Science and Applications
Hiding a Needle in a Haystack Using Negative Databases
Information Hiding
Indexing through Querying in Unstructured Peer-to-Peer Overlay Networks
APNOMS '08 Proceedings of the 11th Asia-Pacific Symposium on Network Operations and Management: Challenges for Next Generation Network Operations and Service Management
Anytime Query Answering in RDF through Evolutionary Algorithms
ISWC '08 Proceedings of the 7th International Conference on The Semantic Web
Cooperative Data Management Services Based on Accountable Contract
OTM '08 Proceedings of the OTM 2008 Confederated International Conferences, CoopIS, DOA, GADA, IS, and ODBASE 2008. Part I on On the Move to Meaningful Internet Systems:
Small-World Peer-to-Peer for Resource Discovery
Information Networking. Towards Ubiquitous Networking and Services
Bio-Inspired Multi-agent Collaboration for Urban Monitoring Applications
Bio-Inspired Computing and Communication
Improving scalability of autonomic systems: the frequency-aware search approach
Autonomics '08 Proceedings of the 2nd International Conference on Autonomic Computing and Communication Systems
A Lock-Based STM Protocol That Satisfies Opacity and Progressiveness
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
On the power of two, three and four probes
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Less is more: sampling the neighborhood graph makes SALSA better and faster
Proceedings of the Second ACM International Conference on Web Search and Data Mining
A comprehensive strategy for contention management in software transactional memory
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
Optimizing Distributed Joins with Bloom Filters
ICDCIT '08 Proceedings of the 5th International Conference on Distributed Computing and Internet Technology
Using Bloom Filters for Large Scale Gene Sequence Analysis in Haskell
PADL '09 Proceedings of the 11th International Symposium on Practical Aspects of Declarative Languages
Mobility '08 Proceedings of the International Conference on Mobile Technology, Applications, and Systems
Using age registers for a simple load-store queue filtering
Journal of Systems Architecture: the EUROMICRO Journal
PERG-Rx: a hardware pattern-matching engine supporting limited regular expressions
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Memory buddies: exploiting page sharing for smart colocation in virtualized data centers
Proceedings of the 2009 ACM SIGPLAN/SIGOPS international conference on Virtual execution environments
The design of a query monitoring system
ACM Transactions on Database Systems (TODS)
IEEE/ACM Transactions on Networking (TON)
Plexus: a scalable peer-to-peer protocol enabling efficient subset search
IEEE/ACM Transactions on Networking (TON)
Proceedings of the second ACM conference on Wireless network security
Efficient peer-to-peer keyword searching
Proceedings of the ACM/IFIP/USENIX 2003 International Conference on Middleware
Low-overhead message tracking for distributed messaging
Proceedings of the ACM/IFIP/USENIX 2006 International Conference on Middleware
Optimized union of non-disjoint distributed data sets
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
A sequential indexing scheme for flash-based embedded systems
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Fast object search on road networks
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Distributed and Parallel Databases
Notary: Hardware techniques to enhance signatures
Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
Generalized Combinatoric Accumulator
IEICE - Transactions on Information and Systems
Adaptive Bloom Filter: A Space-Efficient Counting Algorithm for Unpredictable Network Traffic
IEICE - Transactions on Information and Systems
Sparse indexing: large scale, inline deduplication using sampling and locality
FAST '09 Proccedings of the 7th conference on File and storage technologies
Spyglass: fast, scalable metadata search for large-scale storage systems
FAST '09 Proccedings of the 7th conference on File and storage technologies
A trust management framework for service-oriented environments
Proceedings of the 18th international conference on World wide web
Efficient route discovery in hybrid networks
Ad Hoc Networks
Diverse peer selection in collaborative web search
Proceedings of the 2009 ACM symposium on Applied Computing
Towards distributed software transactional memory systems
LADIS '08 Proceedings of the 2nd Workshop on Large-Scale Distributed Systems and Middleware
A Reconfigurable Bloom Filter Architecture for BLASTN
ARCS '09 Proceedings of the 22nd International Conference on Architecture of Computing Systems
HIDS: a multifunctional generator of hierarchical data streams
ACM SIGMIS Database
A First Step Towards Stream Reasoning
Future Internet --- FIS 2008
An Effective and Efficient Method for Handling Transmission Failures in Sensor Networks
DASFAA '09 Proceedings of the 14th International Conference on Database Systems for Advanced Applications
The design of a similarity based deduplication system
SYSTOR '09 Proceedings of SYSTOR 2009: The Israeli Experimental Systems Conference
Finding the K highest-ranked answers in a distributed network
Computer Networks: The International Journal of Computer and Telecommunications Networking
Towards address privacy in mobile ad hoc networks
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches
Transactions on High-Performance Embedded Architectures and Compilers II
Clone Detection in Sensor Networks with Ad Hoc and Grid Topologies
International Journal of Distributed Sensor Networks
Efficient access enforcement in distributed role-based access control (RBAC) deployments
Proceedings of the 14th ACM symposium on Access control models and technologies
High-performance regular expression scanning on the Cell/B.E. processor
Proceedings of the 23rd international conference on Supercomputing
Lightweight detection of node presence in MANETs
Ad Hoc Networks
Towards a new generation of information-oriented internetworking architectures
CoNEXT '08 Proceedings of the 2008 ACM CoNEXT Conference
An advertisement-based peer-to-peer search algorithm
Journal of Parallel and Distributed Computing
Leveraging discarded samples for tighter estimation of multiple-set aggregates
Proceedings of the eleventh international joint conference on Measurement and modeling of computer systems
SigRace: signature-based data race detection
Proceedings of the 36th annual international symposium on Computer architecture
Long term data storage issues for situational awareness
Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research: Cyber Security and Information Intelligence Challenges and Strategies
HashCache: cache storage for the next billion
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
Secondary indexing in one dimension: beyond b-trees and bitmap indexes
Proceedings of the twenty-eighth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
CHAP: Enabling Efficient Hardware-Based Multiple Hash Schemes for IP Lookup
NETWORKING '09 Proceedings of the 8th International IFIP-TC 6 Networking Conference
How to Trace and Revise Identities
ESWC 2009 Heraklion Proceedings of the 6th European Semantic Web Conference on The Semantic Web: Research and Applications
A hardware platform for efficient worm outbreak detection
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Decentralized multi-dimensional alert correlation for collaborative intrusion detection
Journal of Network and Computer Applications
Rappel: Exploiting interest and network locality to improve fairness in publish-subscribe systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
Fast, All-Purpose State Storage
Proceedings of the 16th International SPIN Workshop on Model Checking Software
Reducing the bandwidth requirements of P2P keyword indexing
International Journal of High Performance Computing and Networking
UIC '09 Proceedings of the 6th International Conference on Ubiquitous Intelligence and Computing
BloomCast: Efficient Full-Text Retrieval over Unstructured P2Ps with Guaranteed Recall
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Adaptive Resource Indexing Technique for Unstructured Peer-to-Peer Networks
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Dynamic external hashing: the limit of buffering
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
LIPSIN: line speed publish/subscribe inter-networking
Proceedings of the ACM SIGCOMM 2009 conference on Data communication
Samera: a scalable and memory-efficient feature extraction algorithm for short 3D video segments
Proceedings of the 2nd International Conference on Immersive Telecommunications
Message models and aggregation in knowledge based middleware for rich sensor systems
Proceedings of the Sixth International Workshop on Data Management for Sensor Networks
Cache-, hash-, and space-efficient bloom filters
Journal of Experimental Algorithmics (JEA)
Improved approximate detection of duplicates for data streams over sliding windows
Journal of Computer Science and Technology
TMACS: type-based distributed middleware for mobile ad-hoc networks
Proceedings of the 5th Annual International Conference on Mobile and Ubiquitous Systems: Computing, Networking, and Services
Privacy-preserving indexing of documents on the network
The VLDB Journal — The International Journal on Very Large Data Bases
On GPU's viability as a middleware accelerator
Cluster Computing
Design and analysis of a lightweight certificate revocation mechanism for VANET
Proceedings of the sixth ACM international workshop on VehiculAr InterNETworking
Dynamically Maintaining Duplicate-Insensitive and Time-Decayed Sum Using Time-Decaying Bloom Filter
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
An Optimal Bloom Filter Replacement Based on Matrix Solving
CSR '09 Proceedings of the Fourth International Computer Science Symposium in Russia on Computer Science - Theory and Applications
Towards Parallel Processing of RDF Queries in DHTs
Globe '09 Proceedings of the 2nd International Conference on Data Management in Grid and Peer-to-Peer Systems
Memory buddies: exploiting page sharing for smart colocation in virtualized data centers
ACM SIGOPS Operating Systems Review
Journal of Artificial Intelligence Research
Architecture Design for Soft Errors
Architecture Design for Soft Errors
Modular data storage with Anvil
Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles
Accelerating YouTube with video correlation
WSM '09 Proceedings of the first SIGMM workshop on Social media
Proceedings of the 5th ACM symposium on QoS and security for wireless and mobile networks
Indirect Branch Validation Unit
Microprocessors & Microsystems
Distributed packet pairing for reflector based DDoS attack mitigation
Computer Communications
Probabilistic file indexing and searching in unstructured peer-to-peer networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Cooperative peer groups in NICE
Computer Networks: The International Journal of Computer and Telecommunications Networking - Management in peer-to-peer systems
Modeling RPS and evaluating video repair with VQM
IEEE Transactions on Multimedia
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Secure anonymous database search
Proceedings of the 2009 ACM workshop on Cloud computing security
R-ECS: reliable elastic computing services for building virtual computing environment
Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human
Feasibility of content dissemination between devices in moving vehicles
Proceedings of the 5th international conference on Emerging networking experiments and technologies
Bloom filters and overlays for routing in pocket switched networks
Proceedings of the 5th international student workshop on Emerging networking experiments and technologies
Marvin: Distributed reasoning over large-scale Semantic Web data
Web Semantics: Science, Services and Agents on the World Wide Web
Succinct approximate counting of skewed data
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Probabilistic counting with randomized storage
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Grid resource discovery based on semantically linked virtual organizations
Future Generation Computer Systems
Privacy-preserving similarity-based text retrieval
ACM Transactions on Internet Technology (TOIT)
Proactive transaction scheduling for contention management
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Coordinated control of multiple prefetchers in multi-core systems
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Architecting a chunk-based memory race recorder in modern CMPs
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
An AS-level overlay network for IP traceback
IEEE Network: The Magazine of Global Internetworking - Special issue title on recent developments in network intrusion detection
Coordinated weighted sampling for estimating aggregates over multiple weight assignments
Proceedings of the VLDB Endowment
Scalable verification for outsourced dynamic databases
Proceedings of the VLDB Endowment
Data integration for the relational web
Proceedings of the VLDB Endowment
Analyzing the optimal use of Bloom filters in wireless sensor networks storing replicas
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Node presence detection with reduced overhead
WONS'09 Proceedings of the Sixth international conference on Wireless On-Demand Network Systems and Services
Phrase clustering for discriminative learning
ACL '09 Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP: Volume 2 - Volume 2
Bloom filter based secure and anonymous DSR protocol in wireless ad hoc networks
International Journal of Security and Networks
Panacea: Automating Attack Classification for Anomaly-Based Network Intrusion Detection Systems
RAID '09 Proceedings of the 12th International Symposium on Recent Advances in Intrusion Detection
BARTER: Behavior Profile Exchange for Behavior-Based Admission and Access Control in MANETs
ICISS '09 Proceedings of the 5th International Conference on Information Systems Security
A Bloom Filter Based Approach for Evaluating Structural Similarity of XML Documents
WISM '09 Proceedings of the International Conference on Web Information Systems and Mining
Scalable Context-Sensitive Points-to Analysis Using Multi-dimensional Bloom Filters
APLAS '09 Proceedings of the 7th Asian Symposium on Programming Languages and Systems
Flexible Bloom Filters for Searching Textual Objects
Agents and Peer-to-Peer Computing
PUF-Based Authentication Protocols --- Revisited
Information Security Applications
A network mitigation system against distributed denial of service: a Linux-based prototype
EurolMSA '07 Proceedings of the Third IASTED European Conference on Internet and Multimedia Systems and Applications
New payload attribution methods for network forensic investigations
ACM Transactions on Information and System Security (TISSEC)
Locaware: index caching in unstructured P2P-file sharing systems
Proceedings of the 2009 EDBT/ICDT Workshops
Stream-based randomised language models for SMT
EMNLP '09 Proceedings of the 2009 Conference on Empirical Methods in Natural Language Processing: Volume 2 - Volume 2
Efficient flow-aware dynamic link load balancing
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
A heuristic and hybrid hash-based approach to fast lookup
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
Identifying RFID tag categories in linear time
WiOPT'09 Proceedings of the 7th international conference on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
Fast track article: Connectivity monitoring in wireless sensor networks
Pervasive and Mobile Computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Building a faster boolean matcher using bloom filter
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
A 1 cycle-per-byte XML parsing accelerator
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
Foundations and Trends in Information Retrieval
Dynamic filtering: multi-purpose architecture support for language runtime systems
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
QuIT: quantitative IP traceback
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
A certificate revocation scheme for space network
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Traceback-based Bloomfilter IPS in defending SYN flooding attack
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Gossiping personalized queries
Proceedings of the 13th International Conference on Extending Database Technology
Trustworthy vacuuming and litigation holds in long-term high-integrity records retention
Proceedings of the 13th International Conference on Extending Database Technology
Xbase: cloud-enabled information appliance for healthcare
Proceedings of the 13th International Conference on Extending Database Technology
On Address Privacy in Mobile Ad Hoc Networks
Mobile Networks and Applications
A secure and scalable identification for hash-based RFID systems using updatable pre-computation
Proceedings of the third ACM conference on Wireless network security
Variable Length Pattern Matching for Hardware Network Intrusion Detection System
Journal of Signal Processing Systems
Cryptographically Secure Bloom-Filters
Transactions on Data Privacy
Promoting cooperation among strangers to access Internet services from an ad hoc network
Pervasive and Mobile Computing
Key escrow schemes with sliding window for privacy-aware anomaly detection system
Proceedings of the 2010 EDBT/ICDT Workshops
Hash Kernels for Structured Data
The Journal of Machine Learning Research
Aspects of adaptivity in P2P information retrieval
AMR'06 Proceedings of the 4th international conference on Adaptive multimedia retrieval: user, context, and feedback
"To store or not to store" reloaded: reclaiming memory on demand
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Secure feedback service in wireless sensor networks
ISPEC'07 Proceedings of the 3rd international conference on Information security practice and experience
Conjunctive, subset, and range queries on encrypted data
TCC'07 Proceedings of the 4th conference on Theory of cryptography
Applying decay to reduce dynamic power in set-associative caches
HiPEAC'07 Proceedings of the 2nd international conference on High performance embedded architectures and compilers
Architectural backpropagation support for managing ambiguous context in smart environments
UAHCI'07 Proceedings of the 4th international conference on Universal access in human-computer interaction: ambient interaction
A hybrid scheme using packet marking and logging for IP traceback
International Journal of Internet Protocol Technology
Tackling large state spaces in performance modelling
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
Cache-, hash- and space-efficient bloom filters
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
Keyword search in DHT-based peer-to-peer networks
ICA3PP'07 Proceedings of the 7th international conference on Algorithms and architectures for parallel processing
BFGSDP: bloom filter guided service discovery protocol for MANETs
ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks
Efficient keyword search over data-centric XML documents
APWeb/WAIM'07 Proceedings of the joint 9th Asia-Pacific web and 8th international conference on web-age information management conference on Advances in data and web management
Indexing and searching XML documents based on content and structure synopses
BNCOD'07 Proceedings of the 24th British national conference on Databases
Efficient search technique for agent-based P2P information retrieval
AIS-ADM'07 Proceedings of the 2nd international conference on Autonomous intelligent systems: agents and data mining
Fast and scalable classification of structured data in the network
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
LCA-based selection for XML document collections
Proceedings of the 19th international conference on World wide web
An efficient software transactional memory using commit-time invalidation
Proceedings of the 8th annual IEEE/ACM international symposium on Code generation and optimization
Speculative parallelization of partial reduction variables
Proceedings of the 8th annual IEEE/ACM international symposium on Code generation and optimization
Compact data format for advertising and discovery in ubiquitous networks
UCS'07 Proceedings of the 4th international conference on Ubiquitous computing systems
Public key encryption that allows PIR queries
CRYPTO'07 Proceedings of the 27th annual international cryptology conference on Advances in cryptology
Using bloom filters to speed up HITS-like ranking algorithms
WAW'07 Proceedings of the 5th international conference on Algorithms and models for the web-graph
The effect of mobility on local service discovery in the ahoy ad-hoc network system
EPEW'07 Proceedings of the 4th European performance engineering conference on Formal methods and stochastic models for performance evaluation
Finding frequent items in data streams using ESBF
PAKDD'07 Proceedings of the 2007 international conference on Emerging technologies in knowledge discovery and data mining
Parallel network intrusion detection on reconfigurable platforms
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Fast evaluation of union-intersection expressions
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
Structuring unstructured peer-to-peer networks
HiPC'07 Proceedings of the 14th international conference on High performance computing
CLAIM: an efficient method for relaxed frequent closed itemsets mining over stream data
DASFAA'07 Proceedings of the 12th international conference on Database systems for advanced applications
Indexing textual XML in P2P networks using distributed bloom filters
DASFAA'07 Proceedings of the 12th international conference on Database systems for advanced applications
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part I
Space-efficient private search with applications to rateless codes
FC'07/USEC'07 Proceedings of the 11th International Conference on Financial cryptography and 1st International conference on Usable Security
PCOW: Pipelining-based COW snapshot method to decrease first write penalty
GPC'08 Proceedings of the 3rd international conference on Advances in grid and pervasive computing
Lightweight fairness solutions for XCP and TCP cohabitation
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
A locally encodable and decodable compressed data structure
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Hierarchical packet classification using a Bloom filter and rule-priority tries
Computer Communications
Flood little, cache more: effective result-reuse in P2P IR systems
DASFAA'08 Proceedings of the 13th international conference on Database systems for advanced applications
An online framework for catching top spreaders and scanners
Computer Networks: The International Journal of Computer and Telecommunications Networking
The limits of buffering: a tight lower bound for dynamic membership in the external memory model
Proceedings of the forty-second ACM symposium on Theory of computing
Lithium: virtual machine storage for the cloud
Proceedings of the 1st ACM symposium on Cloud computing
Load-balanced query dissemination in privacy-aware online communities
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
On the bit communication complexity of randomized rumor spreading
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Lightweight, robust adaptivity for software transactional memory
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Small subset queries and bloom filters using ternary associative memories, with applications
Proceedings of the ACM SIGMETRICS international conference on Measurement and modeling of computer systems
A prefix-distribution adaptive scheme for routing lookup acceleration
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A new data streaming method for locating hosts with large connection degree
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Policy-based monitoring and high precision control for converged multi-gigabit IP networks
APNOMS'09 Proceedings of the 12th Asia-Pacific network operations and management conference on Management enabling the future internet for changing business and new computing services
Reconstruction of malicious internet flows
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
SUSE: superior storage-efficiency for routing tables through prefix transformation and aggregation
IEEE/ACM Transactions on Networking (TON)
Error-tolerant searchable encryption
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Design and analysis of a hierarchical IP traceback system
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A survey of IP traceback mechanisms to overcome denial-of-service attacks
ICNVS'10 Proceedings of the 12th international conference on Networking, VLSI and signal processing
Efficient processing of exact top-k queries over disk-resident sorted lists
The VLDB Journal — The International Journal on Very Large Data Bases
A Serverless Instant Messaging Protocol for Mobile Ad Hoc Networks
C5 '10 Proceedings of the 2010 Eighth International Conference on Creating, Connecting and Collaborating through Computing
Distributed structural and value XML filtering
Proceedings of the Fourth ACM International Conference on Distributed Event-Based Systems
Sensor networks with secure public-key over GF (2m)
ICACT'10 Proceedings of the 12th international conference on Advanced communication technology
Computer Networks: The International Journal of Computer and Telecommunications Networking
Flashtrie: hash-based prefix-compressed trie for IP route lookup beyond 100Gbps
INFOCOM'10 Proceedings of the 29th conference on Information communications
High-speed per-flow traffic measurement with probabilistic multiplicity counting
INFOCOM'10 Proceedings of the 29th conference on Information communications
Measurement data reduction through variation rate metering
INFOCOM'10 Proceedings of the 29th conference on Information communications
SafeQ: secure and efficient query processing in sensor networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Denial of service attack-resistant flooding authentication in wireless sensor networks
Computer Communications
A behavioral analysis engine for network traffic
CCNC'10 Proceedings of the 7th IEEE conference on Consumer communications and networking conference
Query based clustering method in structured P2P overlay networks
CCNC'10 Proceedings of the 7th IEEE conference on Consumer communications and networking conference
I/O Deduplication: Utilizing content similarity to improve I/O performance
ACM Transactions on Storage (TOS)
Use of the TRIPOD overlay network for resource discovery
Future Generation Computer Systems
PCIR: Combining DHTs and peer clusters for efficient full-text P2P indexing
Computer Networks: The International Journal of Computer and Telecommunications Networking
TurboTag: lookup filtering to reduce coherence directory power
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Accelerating the bit-split string matching algorithm using Bloom filters
Computer Communications
An Adaptive Data Prefetcher for High-Performance Processors
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
A new analysis of the false positive rate of a Bloom filter
Information Processing Letters
Journal of Parallel and Distributed Computing
Implementation tradeoffs in the design of flexible transactional memory support
Journal of Parallel and Distributed Computing
A GPU accelerated storage system
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
Mendel: efficiently verifying the lineage of data modified in multiple trust domains
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
Cuckoo bags for exploring multikey data
Proceedings of the Sixth Annual Workshop on Cyber Security and Information Intelligence Research
Subspace snooping: filtering snoops with operating system support
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
Tracking back references in a write-anywhere file system
FAST'10 Proceedings of the 8th USENIX conference on File and storage technologies
I/O deduplication: utilizing content similarity to improve I/O performance
FAST'10 Proceedings of the 8th USENIX conference on File and storage technologies
Carousel: scalable logging for intrusion prevention systems
NSDI'10 Proceedings of the 7th USENIX conference on Networked systems design and implementation
SplitScreen: enabling efficient, distributed malware detection
NSDI'10 Proceedings of the 7th USENIX conference on Networked systems design and implementation
Efficient data structures for tamper-evident logging
SSYM'09 Proceedings of the 18th conference on USENIX security symposium
A randomized countermeasure against parasitic adversaries in wireless sensor networks
IEEE Journal on Selected Areas in Communications - Special issue on simple wireless sensor networking solutions
Pervasive service discovery across heterogeneous tactical networks
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Search+: a resource efficient peer-to-peer service discovery mechanism
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Evaluation of path recording techniques in secure MANET
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
A generalized-zero-preserving method for compact encoding of concept lattices
ACL '10 Proceedings of the 48th Annual Meeting of the Association for Computational Linguistics
Fast inter-domain mobility with in-packet bloom filters
Proceedings of the fifth ACM international workshop on Mobility in the evolving internet architecture
Synchronization via scheduling: managing shared state in video games
HotPar'10 Proceedings of the 2nd USENIX conference on Hot topics in parallelism
Selectivity-based XML query processing in structured peer-to-peer networks
Proceedings of the Fourteenth International Database Engineering & Applications Symposium
Privacy preserving mapping schemes supporting comparison
Proceedings of the 2010 ACM workshop on Cloud computing security workshop
Slow but certain wins the race: authenticated bundle communication in delay tolerant networks
Proceedings of the 6th ACM workshop on QoS and security for wireless and mobile networks
Storing the web in memory: space efficient language models with constant time retrieval
EMNLP '10 Proceedings of the 2010 Conference on Empirical Methods in Natural Language Processing
IP routing processing with graphic processors
Proceedings of the Conference on Design, Automation and Test in Europe
SigNet: network-on-chip filtering for coarse vector directories
Proceedings of the Conference on Design, Automation and Test in Europe
Real-time memory efficient data redundancy removal algorithm
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
Towards bipartite graph data management
CloudDB '10 Proceedings of the second international workshop on Cloud data management
Window memoization: an efficient hardware architecture for high-performance image processing
Journal of Real-Time Image Processing
Cardinality estimation and dynamic length adaptation for Bloom filters
Distributed and Parallel Databases
Efficient and distributed access control for sensor networks
Wireless Networks
On the cell probe complexity of dynamic membership
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Design and implementation of a database filter for BLAST acceleration
Proceedings of the Conference on Design, Automation and Test in Europe
Query racing: fast completeness certification of query results
DBSec'10 Proceedings of the 24th annual IFIP WG 11.3 working conference on Data and applications security and privacy
Dispersion estimates for telecommunications fraud
IPMU'10 Proceedings of the Computational intelligence for knowledge-based systems design, and 13th international conference on Information processing and management of uncertainty
CRYPTO'10 Proceedings of the 30th annual conference on Advances in cryptology
Hybrid in-memory and on-disk tables for speeding-up table accesses
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part I
Binary search on levels using a Bloom filter for IPv6 address lookup
Proceedings of the 5th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Clustering coefficient queries on massive dynamic social networks
WAIM'10 Proceedings of the 11th international conference on Web-age information management
Fine-grained cloud DB damage examination based on bloom filters
WAIM'10 Proceedings of the 11th international conference on Web-age information management
IDEAL'10 Proceedings of the 11th international conference on Intelligent data engineering and automated learning
Evidence analysis method using Bloom filter for MANET forensics
KES'10 Proceedings of the 14th international conference on Knowledge-based and intelligent information and engineering systems: Part III
Adaptive conflict unit size for distributed optimistic synchronization
EuroPar'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I
DISC'10 Proceedings of the 24th international conference on Distributed computing
Joining privately on outsourced data
SDM'10 Proceedings of the 7th VLDB conference on Secure data management
Flash memory efficient LTL model checking
Science of Computer Programming
Sequential hashing: A flexible approach for unveiling significant patterns in high speed networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Improving retouched Bloom filter for trading off selected false positives against false negatives
Computer Networks: The International Journal of Computer and Telecommunications Networking
Advanced hashing schemes for packet forwarding using set associative memory architectures
Journal of Parallel and Distributed Computing
Speed up gradual rule mining from stream data! A B-Tree and OWA-based approach
Journal of Intelligent Information Systems
Chapar: A Persistent Overlay Event System for MANETs
Mobile Networks and Applications
Generic replication of software transactional memory
Proceedings of the 7th Middleware Doctoral Symposium
The deletable bloom filter: a new member of the bloom family
IEEE Communications Letters
Massively parallel acceleration of a document-similarity classifier to detect web attacks
Journal of Parallel and Distributed Computing
Secure personal data servers: a vision paper
Proceedings of the VLDB Endowment
SigMatch: fast and scalable multi-pattern matching
Proceedings of the VLDB Endowment
SAPPER: subgraph indexing and approximate matching in large graphs
Proceedings of the VLDB Endowment
Prefetching Bloom filters to control flooding in content-centric networks
Proceedings of the ACM CoNEXT Student Workshop
Robust Record Linkage Blocking Using Suffix Arrays and Bloom Filters
ACM Transactions on Knowledge Discovery from Data (TKDD)
HR-SDBF: an approach to data-centric routing in WSNs
International Journal of High Performance Computing and Networking
Popularity is everything: a new approach to protecting passwords from statistical-guessing attacks
HotSec'10 Proceedings of the 5th USENIX conference on Hot topics in security
Efficient explicit-state model checking on general purpose graphics processors
SPIN'10 Proceedings of the 17th international SPIN conference on Model checking software
AtomTracker: A Comprehensive Approach to Atomic Region Inference and Violation Detection
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
The ZCache: Decoupling Ways and Associativity
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
InstantCheck: Checking the Determinism of Parallel Programs Using On-the-Fly Incremental Hashing
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Runtime verification for software transactional memories
RV'10 Proceedings of the First international conference on Runtime verification
A behavior-based SMS antispam system
IBM Journal of Research and Development
An empirical assessment of approaches to distributed enforcement in role-based access control (RBAC)
Proceedings of the first ACM conference on Data and application security and privacy
Extended histories: improving regularity and performance in correlation prefetchers
Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers
High throughput data redundancy removal algorithm with scalable performance
Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers
ICDCN'11 Proceedings of the 12th international conference on Distributed computing and networking
L1 data cache power reduction using a forwarding predictor
PATMOS'10 Proceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation
Hardware acceleration of transactional memory on commodity systems
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays
On name-based inter-domain routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Efficient discovery of frequent subgraph patterns in uncertain graph databases
Proceedings of the 14th International Conference on Extending Database Technology
Real-time approximate Range Motif discovery & data redundancy removal algorithm
Proceedings of the 14th International Conference on Extending Database Technology
Weak state routing for large-scale dynamic networks
IEEE/ACM Transactions on Networking (TON)
Enhancing counting bloom filters through Huffman-coded multilayer structures
IEEE/ACM Transactions on Networking (TON)
DDoS detection and traceback with decision tree and grey relational analysis
International Journal of Ad Hoc and Ubiquitous Computing
A study of practical deduplication
FAST'11 Proceedings of the 9th USENIX conference on File and stroage technologies
Tradeoffs in scalable data routing for deduplication clusters
FAST'11 Proceedings of the 9th USENIX conference on File and stroage technologies
FAST'11 Proceedings of the 9th USENIX conference on File and stroage technologies
Compressing regular expressions' DFA table by matrix decomposition
CIAA'10 Proceedings of the 15th international conference on Implementation and application of automata
Supporting early pruning in top-k query processing on massive data
Information Processing Letters
Block-level security for network-attached disks
FAST'03 Proceedings of the 2nd USENIX conference on File and storage technologies
Transactions on large-scale data- and knowledge-centered systems II
Identifying the provenance of correlated anomalies
Proceedings of the 2011 ACM Symposium on Applied Computing
Fast lists intersection with Bloom filter using graphics processing units
Proceedings of the 2011 ACM Symposium on Applied Computing
A Generalized Bloom Filter to Secure Distributed Network Applications
Computer Networks: The International Journal of Computer and Telecommunications Networking
Best position algorithms for efficient top-k query processing
Information Systems
The modelling and analysis of ocean store elements using the CSP dependability library
TGC'05 Proceedings of the 1st international conference on Trustworthy global computing
Transactions on large-scale data- and knowledge-centered systems II
Cost based in-network join strategy in tree routing sensor networks
Information Sciences: an International Journal
Automatic discovery of attributes in relational databases
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Finding heavy distinct hitters in data streams
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Understanding bloom filter intersection for lazy address-set disambiguation
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Synchronization via scheduling: techniques for efficiently managing shared state
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
Don't rush into a union: take time to find your roots
Proceedings of the forty-third annual ACM symposium on Theory of computing
On a security model of conjunctive keyword search over encrypted relational database
Journal of Systems and Software
Multiset signatures for transactional memory
Proceedings of the international conference on Supercomputing
Proceedings of the international conference on Supercomputing
Karma: scalable deterministic record-replay
Proceedings of the international conference on Supercomputing
Measuring and enhancing the social connectivity of UGC video systems: a case study of YouKu
Proceedings of the Nineteenth International Workshop on Quality of Service
A distributed look-up architecture for text mining applications using mapreduce
Proceedings of the 20th international symposium on High performance distributed computing
Efficient and secure threshold-based event validation for VANETs
Proceedings of the fourth ACM conference on Wireless network security
Low complexity set reconciliation using Bloom filters
FOMC '11 Proceedings of the 7th ACM ACM SIGACT/SIGMOBILE International Workshop on Foundations of Mobile Computing
Rebound: scalable checkpointing for coherent shared memory
Proceedings of the 38th annual international symposium on Computer architecture
Application-specific signatures for transactional memory in soft processors
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Context-based online configuration-error detection
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Don't thrash: how to cache your hash on flash
HotStorage'11 Proceedings of the 3rd USENIX conference on Hot topics in storage and file systems
A new dataset and method for automatically grading ESOL texts
HLT '11 Proceedings of the 49th Annual Meeting of the Association for Computational Linguistics: Human Language Technologies - Volume 1
On the evolution of clusters of near-duplicate web pages
Journal of Web Engineering
Pseudo-random graphs and bit probe schemes with one-sided error
CSR'11 Proceedings of the 6th international conference on Computer science: theory and applications
What's the difference?: efficient set reconciliation without prior context
Proceedings of the ACM SIGCOMM 2011 conference
The context of coordinating groups in dynamic mobile networks
COORDINATION'11 Proceedings of the 13th international conference on Coordination models and languages
HRAN: a scalable routing protocol for multihop wireless networks using bloom filters
WWIC'11 Proceedings of the 9th IFIP TC 6 international conference on Wired/wireless internet communications
The GOSSPLE anonymous social network
Proceedings of the ACM/IFIP/USENIX 11th International Conference on Middleware
A keyword search algorithm for structured peer-to-peer networks
International Journal of Grid and Utility Computing
Hermes: an integrated CPU/GPU microarchitecture for IP routing
Proceedings of the 48th Design Automation Conference
Protecting against DNS reflection attacks with Bloom filters
DIMVA'11 Proceedings of the 8th international conference on Detection of intrusions and malware, and vulnerability assessment
A survey of structured P2P systems for RDF data storage and retrieval
Transactions on large-scale data- and knowledge-centered systems III
Public-key encrypted bloom filters with applications to supply chain integrity
DBSec'11 Proceedings of the 25th annual IFIP WG 11.3 conference on Data and applications security and privacy
Flooding-resilient broadcast authentication for VANETs
MobiCom '11 Proceedings of the 17th annual international conference on Mobile computing and networking
Journal of Network and Computer Applications
A constraint satisfaction cryptanalysis of bloom filters in private record linkage
PETS'11 Proceedings of the 11th international conference on Privacy enhancing technologies
Dynamic behavior matching: a complexity analysis and new approximation algorithms
CADE'11 Proceedings of the 23rd international conference on Automated deduction
A flexible graph pattern matching framework via indexing
SSDBM'11 Proceedings of the 23rd international conference on Scientific and statistical database management
Unified locality-sensitive signatures for transactional memory
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Approximate and incremental processing of complex queries against the web of data
DEXA'11 Proceedings of the 22nd international conference on Database and expert systems applications - Volume Part II
Probabilistic threshold join over distributed uncertain data
WAIM'11 Proceedings of the 12th international conference on Web-age information management
Conjunctive wildcard search over encrypted data
SDM'11 Proceedings of the 8th VLDB international conference on Secure data management
An efficient multi-tier tablet server storage architecture
Proceedings of the 2nd ACM Symposium on Cloud Computing
SoC-TM: integrated HW/SW support for transactional memory programming on embedded MPSoCs
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
ESA'11 Proceedings of the 19th European conference on Algorithms
A light-weight solution to preservation of access pattern privacy in un-trusted clouds
ESORICS'11 Proceedings of the 16th European conference on Research in computer security
Predictive resource management of multiple monitoring applications
IEEE/ACM Transactions on Networking (TON)
On-demand time-decaying bloom filters for telemarketer detection
ACM SIGCOMM Computer Communication Review
SILT: a memory-efficient, high-performance key-value store
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
Collaborative personalized top-k processing
ACM Transactions on Database Systems (TODS)
Approximate bit vectors for fast unification
MOL'11 Proceedings of the 12th biennial conference on The mathematics of language
A privacy-preserving join on outsourced database
ISC'11 Proceedings of the 14th international conference on Information security
COCA filters: co-occurrence aware bloom filters
SPIRE'11 Proceedings of the 18th international conference on String processing and information retrieval
A distributed look-up architecture for text mining applications using MapReduce
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
One is enough: distributed filtering for duplicate elimination
Proceedings of the 20th ACM international conference on Information and knowledge management
Estimating selectivity for joined RDF triple patterns
Proceedings of the 20th ACM international conference on Information and knowledge management
AWETO: efficient incremental update and querying in rdf storage system
Proceedings of the 20th ACM international conference on Information and knowledge management
Privacy-enhanced social-network routing
Computer Communications
Flow Oriented Approaches to QoS Assurance
ACM Computing Surveys (CSUR)
Exploring interest correlation for peer-to-peer socialized video sharing
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Trust extension as a mechanism for secure code execution on commodity computers
Trust extension as a mechanism for secure code execution on commodity computers
Privacy preserving gate counting with collaborative bluetooth scanners
OTM'11 Proceedings of the 2011th Confederated international conference on On the move to meaningful internet systems
Proceedings of the 15th Symposium on International Database Engineering & Applications
Private search in the real world
Proceedings of the 27th Annual Computer Security Applications Conference
Winnowing: Protecting P2P systems against pollution through cooperative index filtering
Journal of Network and Computer Applications
Filtering directory lookups in CMPs
Microprocessors & Microsystems
A study of practical deduplication
ACM Transactions on Storage (TOS)
A step toward practical deployment of the content-centric networking architecture
Proceedings of The ACM CoNEXT Student Workshop
Cardinality computing: a new step towards fully representing multi-sets by bloom filters
WISE'06 Proceedings of the 7th international conference on Web Information Systems
Blind publication: a copyright library without publication or trust
Proceedings of the 11th international conference on Security Protocols
Low-Overhead message tracking for distributed messaging
Middleware'06 Proceedings of the 7th ACM/IFIP/USENIX international conference on Middleware
A multi-attribute data structure with parallel bloom filters for network services
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
A p2p architecture for multimedia content retrieval
MMM'07 Proceedings of the 13th international conference on Multimedia Modeling - Volume Part I
FlexSig: Implementing flexible hardware signatures
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Achieving distributed user access control in sensor networks
Ad Hoc Networks
An empirical analysis of similarity in virtual machine images
Proceedings of the Middleware 2011 Industry Track Workshop
Multi-Granularities counting bloom filter
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Object-relational event middleware for web applications
Proceedings of the 2011 Conference of the Center for Advanced Studies on Collaborative Research
Context discovery using attenuated bloom filters in ad-hoc networks
WWIC'06 Proceedings of the 4th international conference on Wired/Wireless Internet Communications
On the (in)security of hash-based oblivious RAM and a new balancing scheme
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Distributed network querying with bounded approximate caching
DASFAA'06 Proceedings of the 11th international conference on Database Systems for Advanced Applications
Efficient system-on-chip energy management with a segmented bloom filter
ARCS'06 Proceedings of the 19th international conference on Architecture of Computing Systems
Efficient and beneficial defense against DDoS direct attack and reflector attack
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Filter merging for efficient information dissemination
OTM'05 Proceedings of the 2005 Confederated international conference on On the Move to Meaningful Internet Systems - Volume >Part I
On the usage of global document occurrences in peer-to-peer information systems
OTM'05 Proceedings of the 2005 Confederated international conference on On the Move to Meaningful Internet Systems - Volume >Part I
HiPC'05 Proceedings of the 12th international conference on High Performance Computing
Reducing the bandwidth requirements of p2p keyword indexing
ICA3PP'05 Proceedings of the 6th international conference on Algorithms and Architectures for Parallel Processing
Setup algorithm of web service composition
ICA3PP'05 Proceedings of the 6th international conference on Algorithms and Architectures for Parallel Processing
Introducing social aspects to search in peer-to-peer networks
WM'05 Proceedings of the Third Biennial conference on Professional Knowledge Management
Fast malware family detection method using control flow graphs
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
Optimizing the access to read-only data in grid computing
DAIS'05 Proceedings of the 5th IFIP WG 6.1 international conference on Distributed Applications and Interoperable Systems
A new algorithm for long flows Statistics—MGCBF
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
Efficient conjunctive keyword search on encrypted data storage system
EuroPKI 2006 Proceedings of the Third European conference on Public Key Infrastructure: theory and Practice
Energy-efficient polling protocols in RFID systems
MobiHoc '11 Proceedings of the Twelfth ACM International Symposium on Mobile Ad Hoc Networking and Computing
Cooperating with free riders in unstructured P2P networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 4th International Conference on Uniquitous Information Management and Communication
Path similarity evaluation using Bloom filters
Computer Networks: The International Journal of Computer and Telecommunications Networking
Detecting SYN flooding attacks near innocent side
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
Efficient join algorithms for integrating XML data in grid environment
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
Decentralized grid resource locating protocol based on grid resource space model
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
A statistical study of today’s gnutella
APWeb'06 Proceedings of the 8th Asia-Pacific Web conference on Frontiers of WWW Research and Development
IQN routing: integrating quality and novelty in P2P querying and ranking
EDBT'06 Proceedings of the 10th international conference on Advances in Database Technology
Disclosing the element distribution of bloom filter
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Scalable resources portfolio selection with fairness based on economical methods
UIC'06 Proceedings of the Third international conference on Ubiquitous Intelligence and Computing
Time-decaying bloom filters for efficient middle-tier data management
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part III
On the configuration of the similarity search data structure d-index for high dimensional objects
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part III
SEA'10 Proceedings of the 9th international conference on Experimental Algorithms
Ad hoc star join query processing in cluster architectures
DaWaK'05 Proceedings of the 7th international conference on Data Warehousing and Knowledge Discovery
Secure index search for groups
TrustBus'05 Proceedings of the Second international conference on Trust, Privacy, and Security in Digital Business
Privacy preserving keyword searches on remote encrypted data
ACNS'05 Proceedings of the Third international conference on Applied Cryptography and Network Security
DISC'06 Proceedings of the 20th international conference on Distributed Computing
Upper and lower bounds on black-box steganography
TCC'05 Proceedings of the Second international conference on Theory of Cryptography
Intra-domain IP traceback using OSPF
Computer Communications
Wayfinder: navigating and sharing information in a decentralized world
DBISP2P'04 Proceedings of the Second international conference on Databases, Information Systems, and Peer-to-Peer Computing
Flow-level traffic analysis of the blaster and sobig worm outbreaks in an internet backbone
DIMVA'05 Proceedings of the Second international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
Improved combinatorial group testing for real-world problem sizes
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
On constructing small worlds in unstructured peer-to-peer systems
EDBT'04 Proceedings of the 2004 international conference on Current Trends in Database Technology
Time-Out bloom filter: a new sampling method for recording more flows
ICOIN'06 Proceedings of the 2006 international conference on Information Networking: advances in Data Communications and Wireless Networks
String matching on the internet
CAAN'04 Proceedings of the First international conference on Combinatorial and Algorithmic Aspects of Networking
Improved algorithms for network topology discovery
PAM'05 Proceedings of the 6th international conference on Passive and Active Network Measurement
Scalable coordination techniques for distributed network monitoring
PAM'05 Proceedings of the 6th international conference on Passive and Active Network Measurement
An adaptive routing mechanism for efficient resource discovery in unstructured p2p networks
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part III
Local utility aware content replication
NETWORKING'05 Proceedings of the 4th IFIP-TC6 international conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems
Fastpath speculative parallelization
LCPC'09 Proceedings of the 22nd international conference on Languages and Compilers for Parallel Computing
ACM SIGMETRICS Performance Evaluation Review
The MINERVA project: towards collaborative search in digital libraries using peer-to-peer technology
DELOS'04 Proceedings of the 6th Thematic conference on Peer-to-Peer, Grid, and Service-Orientation in Digital Library Architectures
FLUX: content and structure matching of XPath queries with range predicates
XSym'06 Proceedings of the 4th international conference on Database and XML Technologies
Achieving efficient conjunctive keyword searches over encrypted data
ICICS'05 Proceedings of the 7th international conference on Information and Communications Security
Collaborative ranking and profiling: exploiting the wisdom of crowds in tailored web search
DAIS'10 Proceedings of the 10th IFIP WG 6.1 international conference on Distributed Applications and Interoperable Systems
Anagram: a content anomaly detector resistant to mimicry attack
RAID'06 Proceedings of the 9th international conference on Recent Advances in Intrusion Detection
Enhancing network intrusion detection with integrated sampling and filtering
RAID'06 Proceedings of the 9th international conference on Recent Advances in Intrusion Detection
Caching indices for efficient lookup in structured overlay networks
AP2PC'05 Proceedings of the 4th international conference on Agents and Peer-to-Peer Computing
Extended sanitizable signatures
ICISC'06 Proceedings of the 9th international conference on Information Security and Cryptology
Detecting DNS amplification attacks
CRITIS'07 Proceedings of the Second international conference on Critical Information Infrastructures Security
Generalizations and extensions of redactable signatures with applications to electronic healthcare
CMS'10 Proceedings of the 11th IFIP TC 6/TC 11 international conference on Communications and Multimedia Security
Search methods in p2p networks: a survey
IICS'04 Proceedings of the 4th international conference on Innovative Internet Community Systems
Towards p2p-based semantic web service discovery with qos support
BPM'05 Proceedings of the Third international conference on Business Process Management
Peer-to-Peer Information Retrieval: An Overview
ACM Transactions on Information Systems (TOIS)
A new network forensics system for chinese text content
ACC'11/MMACTEE'11 Proceedings of the 13th IASME/WSEAS international conference on Mathematical Methods and Computational Techniques in Electrical Engineering conference on Applied Computing
Using partial tag comparison in low-power snoop-based chip multiprocessors
ISCA'10 Proceedings of the 2010 international conference on Computer Architecture
Cross-Domain collaborative anomaly detection: so far yet so close
RAID'11 Proceedings of the 14th international conference on Recent Advances in Intrusion Detection
Live deduplication storage of virtual machine images in an open-source cloud
Middleware'11 Proceedings of the 12th ACM/IFIP/USENIX international conference on Middleware
PolyCert: polymorphic self-optimizing replication for in-memory transactional grids
Middleware'11 Proceedings of the 12th ACM/IFIP/USENIX international conference on Middleware
Self-Management Framework for Mobile Autonomous Systems
Journal of Network and Systems Management
L-priorities bloom filter: A new member of the bloom filter family
International Journal of Automation and Computing
Content-aware load balancing for distributed backup
LISA'11 Proceedings of the 25th international conference on Large Installation System Administration
Throughput analysis for a high-performance FPGA-accelerated real-time search application
International Journal of Reconfigurable Computing - Special issue on High-Performance Reconfigurable Computing
PBFilter: A flash-based indexing scheme for embedded systems
Information Systems
Towards benefit-based RDF source selection for SPARQL queries
SWIM '12 Proceedings of the 4th International Workshop on Semantic Web Information Management
Secure and privacy-aware searching in peer-to-peer networks
DPM'11 Proceedings of the 6th international conference, and 4th international conference on Data Privacy Management and Autonomous Spontaneus Security
Assessing the real-world dynamics of DNS
TMA'12 Proceedings of the 4th international conference on Traffic Monitoring and Analysis
International Journal of Bio-Inspired Computation
Proceedings of the 15th International Conference on Extending Database Technology
Cooperative private searching in clouds
Journal of Parallel and Distributed Computing
Survey: DNA-inspired information concealing: A survey
Computer Science Review
Multi-resolution similarity hashing
Digital Investigation: The International Journal of Digital Forensics & Incident Response
Collaborative scheme for VoIP traceback
Digital Investigation: The International Journal of Digital Forensics & Incident Response
An evaluation of forensic similarity hashes
Digital Investigation: The International Journal of Digital Forensics & Incident Response
md5bloom: Forensic filesystem hashing revisited
Digital Investigation: The International Journal of Digital Forensics & Incident Response
An approximate duplicate elimination in RFID data streams
Data & Knowledge Engineering
Towards planet-scale localization on smartphones with a partial radiomap
Proceedings of the 4th ACM international workshop on Hot topics in planet-scale measurement
Exact pattern matching with feed-forward bloom filters
Journal of Experimental Algorithmics (JEA)
Virtual indexing based methods for estimating node connection degrees
Computer Networks: The International Journal of Computer and Telecommunications Networking
Audio-on-demand over wireless sensor networks
Proceedings of the 2012 IEEE 20th International Workshop on Quality of Service
A survey of context data distribution for mobile ubiquitous systems
ACM Computing Surveys (CSUR)
Approximate membership query over time-decaying windows for event stream processing
Proceedings of the 6th ACM International Conference on Distributed Event-Based Systems
Thrifty privacy: efficient support for privacy-preserving publish/subscribe
Proceedings of the 6th ACM International Conference on Distributed Event-Based Systems
RAIDR: Retention-Aware Intelligent DRAM Refresh
Proceedings of the 39th Annual International Symposium on Computer Architecture
BloomCasting: security in bloom filter based multicast
NordSec'10 Proceedings of the 15th Nordic conference on Information Security Technology for Applications
A case for parallelizing web pages
HotPar'12 Proceedings of the 4th USENIX conference on Hot Topics in Parallelism
HydraVM: extracting parallelism from legacy sequential code using STM
HotPar'12 Proceedings of the 4th USENIX conference on Hot Topics in Parallelism
Don't trust your roommate or access control and replication protocols in "Home" environments
HotStorage'12 Proceedings of the 4th USENIX conference on Hot Topics in Storage and File Systems
The TokuFS streaming file system
HotStorage'12 Proceedings of the 4th USENIX conference on Hot Topics in Storage and File Systems
Synopses for Massive Data: Samples, Histograms, Wavelets, Sketches
Foundations and Trends in Databases
Don't thrash: how to cache your hash on flash
Proceedings of the VLDB Endowment
Differentially private continual monitoring of heavy hitters from distributed streams
PETS'12 Proceedings of the 12th international conference on Privacy Enhancing Technologies
Slead: low-memory, steady distributed systems slicing
DAIS'12 Proceedings of the 12th IFIP WG 6.1 international conference on Distributed Applications and Interoperable Systems
Optimizing hash function number for BF-Based object locating algorithm
ICSI'12 Proceedings of the Third international conference on Advances in Swarm Intelligence - Volume Part II
Concise lookup tables for IPv4 and IPv6 longest prefix matching in scalable routers
IEEE/ACM Transactions on Networking (TON)
FlashTrie: beyond 100-Gb/s IP route lookup using hash-based prefix-compressed trie
IEEE/ACM Transactions on Networking (TON)
Transactional prefetching: narrowing the window of contention in hardware transactional memory
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
The evicted-address filter: a unified mechanism to address both cache pollution and thrashing
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
Cobra: toward concurrent ballot authorization for internet voting
EVT/WOTE'12 Proceedings of the 2012 international conference on Electronic Voting Technology/Workshop on Trustworthy Elections
An optimized strategy for update path selection in unstructured P2P networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Single round access privacy on outsourced storage
Proceedings of the 2012 ACM conference on Computer and communications security
Design proposal of a photonic multicast Bloom filter node
Photonic Network Communications
High performance RDMA-based design of HDFS over InfiniBand
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Trust-aware query routing in P2P social networks
International Journal of Communication Systems
A distributed index for efficient parallel top-k keyword search on massive graphs
Proceedings of the twelfth international workshop on Web information and data management
Sketch algorithms for estimating point queries in NLP
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
Path query routing in unstructured peer-to-peer networks
Euro-Par'07 Proceedings of the 13th international Euro-Par conference on Parallel Processing
Capitalizing on free riders in p2p networks
Euro-Par'07 Proceedings of the 13th international Euro-Par conference on Parallel Processing
Design strategies for minimal perfect hash functions
SAGA'07 Proceedings of the 4th international conference on Stochastic Algorithms: foundations and applications
Black box features for the WMT 2012 quality estimation shared task
WMT '12 Proceedings of the Seventh Workshop on Statistical Machine Translation
Enhanced authentication for commercial video services
Security and Communication Networks
A property-based technique for tolerating faults in bloom filters for deep packet inspection
ATC'07 Proceedings of the 4th international conference on Autonomic and Trusted Computing
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Space-efficient structures for detecting port scans
DEXA'07 Proceedings of the 18th international conference on Database and Expert Systems Applications
Proceedings of the 21st ACM international conference on Information and knowledge management
MAPLE: a scalable architecture for maintaining packet latency measurements
Proceedings of the 2012 ACM conference on Internet measurement conference
Duplicate detection in pay-per-click streams using temporal stateful Bloom filters
International Journal of Data Analysis Techniques and Strategies
Join processing using Bloom filter in MapReduce
Proceedings of the 2012 ACM Research in Applied Computation Symposium
Hierarchical workflow management in wireless sensor network
PRICAI'12 Proceedings of the 12th Pacific Rim international conference on Trends in Artificial Intelligence
Towards exhaustive pairwise matching in large image collections
ECCV'12 Proceedings of the 12th international conference on Computer Vision - Volume Part I
N-Gram against the machine: on the feasibility of the n-gram network analysis for binary protocols
RAID'12 Proceedings of the 15th international conference on Research in Attacks, Intrusions, and Defenses
Secure keyword search using bloom filter with specified character positions
ProvSec'12 Proceedings of the 6th international conference on Provable Security
Pairwise similarity of TopSig document signatures
Proceedings of the Seventeenth Australasian Document Computing Symposium
An efficient event delivery scheme in mobile ad hoc communities
International Journal of Communication Networks and Distributed Systems
BLIP: non-interactive differentially-private similarity computation on bloom filters
SSS'12 Proceedings of the 14th international conference on Stabilization, Safety, and Security of Distributed Systems
Modeling conservative updates in multi-hash approximate count sketches
Proceedings of the 24th International Teletraffic Congress
Live deduplication storage of virtual machine images in an open-source cloud
Proceedings of the 12th International Middleware Conference
PolyCert: polymorphic self-optimizing replication for in-memory transactional grids
Proceedings of the 12th International Middleware Conference
"Better than nothing" privacy with bloom filters: to what extent?
PSD'12 Proceedings of the 2012 international conference on Privacy in Statistical Databases
Hybrid aggregates: combining SSDs and HDDs in a single storage pool
ACM SIGOPS Operating Systems Review
Privacy- and integrity-preserving range queries in sensor networks
IEEE/ACM Transactions on Networking (TON)
Per-flow traffic measurement through randomized counter sharing
IEEE/ACM Transactions on Networking (TON)
A novel approach for leveraging co-occurrence to improve the false positive error in signature files
Journal of Discrete Algorithms
Journal of Computer and System Sciences
Access-efficient Balanced Bloom Filters
Computer Communications
Secure and Private Service Discovery in Pervasive Computing Environments
International Journal of Advanced Pervasive and Ubiquitous Computing
An innovative electronic group-buying system for mobile commerce
Electronic Commerce Research and Applications
Fast and deterministic hash table lookup using discriminative bloom filters
Journal of Network and Computer Applications
HybridStore: an efficient data management system for hybrid flash-based sensor devices
EWSN'13 Proceedings of the 10th European conference on Wireless Sensor Networks
Distributed oblivious RAM for secure two-party computation
TCC'13 Proceedings of the 10th theory of cryptography conference on Theory of Cryptography
Middleware for pervasive computing: A survey
Pervasive and Mobile Computing
A fast indexing algorithm optimization with user behavior pattern
ICPCA/SWS'12 Proceedings of the 2012 international conference on Pervasive Computing and the Networked World
A fast generative spell corrector based on edit distance
ECIR'13 Proceedings of the 35th European conference on Advances in Information Retrieval
Fast RMWs for TSO: semantics and implementation
Proceedings of the 34th ACM SIGPLAN conference on Programming language design and implementation
A storage-efficient cryptography-based access control solution for subversion
Proceedings of the 18th ACM symposium on Access control models and technologies
An efficient hybrid approach to per-flow state tracking for high-speed networks
Computer Communications
SmartAds: bringing contextual ads to mobile apps
Proceeding of the 11th annual international conference on Mobile systems, applications, and services
Column imprints: a secondary index structure
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Reuse-based online models for caches
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
Stream-based event prediction using bayesian and bloom filters
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
A taxonomy of privacy-preserving record linkage techniques
Information Systems
Distance-aware bloom filters: Enabling collaborative search for efficient resource discovery
Future Generation Computer Systems
isBF: scalable in-packet bloom filter based multicast
Proceedings of the 28th Annual ACM Symposium on Applied Computing
A strategy for optimizing a multi-site query in a distributed spatial database
W2GIS'13 Proceedings of the 12th international conference on Web and Wireless Geographical Information Systems
An error tolerant CAM with nand match-line organization
Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
Faster upper bounding of intersection sizes
Proceedings of the 36th international ACM SIGIR conference on Research and development in information retrieval
Proceedings of the 8th ACM SIGSAC symposium on Information, computer and communications security
SecLaaS: secure logging-as-a-service for cloud forensics
Proceedings of the 8th ACM SIGSAC symposium on Information, computer and communications security
Expressive search on encrypted data
Proceedings of the 8th ACM SIGSAC symposium on Information, computer and communications security
Verifiable and private top-k monitoring
Proceedings of the 8th ACM SIGSAC symposium on Information, computer and communications security
Inverted indices for particle tracking in petascale cosmological simulations
Proceedings of the 25th International Conference on Scientific and Statistical Database Management
A dual grain hit-miss detector for large die-stacked DRAM caches
Proceedings of the Conference on Design, Automation and Test in Europe
Error detection in ternary CAMs using bloom filters
Proceedings of the Conference on Design, Automation and Test in Europe
Block locality caching for data deduplication
Proceedings of the 6th International Systems and Storage Conference
A scalable deduplication and garbage collection engine for incremental backup
Proceedings of the 6th International Systems and Storage Conference
Consistent and efficient output-streams management in optimistic simulation platforms
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Rendezvous: a search engine for binary code
Proceedings of the 10th Working Conference on Mining Software Repositories
Self-adaptive containers: building resource-efficient applications with low programmer overhead
Proceedings of the 8th International Symposium on Software Engineering for Adaptive and Self-Managing Systems
Ad click prediction: a view from the trenches
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A distributed framework for scaling Up LSH-based computations in privacy preserving record linkage
Proceedings of the 6th Balkan Conference in Informatics
Reconfigurable accelerator for the word-matching stage of BLASTN
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
When cycles are cheap, some tables can be huge
HotOS'13 Proceedings of the 14th USENIX conference on Hot Topics in Operating Systems
Bloom filter-based dynamic wear leveling for phase-change RAM
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Fast candidate generation for real-time tweet search with bloom filter chains
ACM Transactions on Information Systems (TOIS)
Bloofi: a hierarchical Bloom filter index with applications to distributed data provenance
Proceedings of the 2nd International Workshop on Cloud Intelligence
Toward intersection filter-based optimization for joins in MapReduce
Proceedings of the 2nd International Workshop on Cloud Intelligence
SAFE: A Source Deduplication Framework for Efficient Cloud Backup Services
Journal of Signal Processing Systems
Requirements and design for neutral trust management framework in unstructured networks
The Journal of Supercomputing
Dynamic camouflage event based malicious node detection architecture
The Journal of Supercomputing
A survey of address translation technologies for flash memories
ACM Computing Surveys (CSUR)
Performance analysis of in-network caching for content-centric networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
DACCER: Distributed Assessment of the Closeness CEntrality Ranking in complex networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
When private set intersection meets big data: an efficient and scalable protocol
Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security
A close look on n-grams in intrusion detection: anomaly detection vs. classification
Proceedings of the 2013 ACM workshop on Artificial intelligence and security
Let the tree Bloom: scalable opportunistic routing with ORPL
Proceedings of the 11th ACM Conference on Embedded Networked Sensor Systems
Efficient and robust data aggregation using untrusted infrastructure
Proceedings of the 6th International Conference on Security of Information and Networks
Do I know you?: efficient and privacy-preserving common friend-finder protocols and applications
Proceedings of the 29th Annual Computer Security Applications Conference
An iterative two-party protocol for scalable privacy-preserving record linkage
AusDM '12 Proceedings of the Tenth Australasian Data Mining Conference - Volume 134
Cloud-aware processing of MapReduce-based OLAP applications
AusPDC '13 Proceedings of the Eleventh Australasian Symposium on Parallel and Distributed Computing - Volume 140
Spreader classification based on optimal dynamic bit sharing
IEEE/ACM Transactions on Networking (TON)
A pattern-matching scheme with high throughput performance and low memory requirement
IEEE/ACM Transactions on Networking (TON)
A scalable network forensics mechanism for stealthy self-propagating attacks
Computer Communications
TerraCheck: verification of dedicated cloud storage
DBSec'13 Proceedings of the 27th international conference on Data and Applications Security and Privacy XXVII
Defending collaborative false data injection attacks in wireless sensor networks
Information Sciences: an International Journal
Scalable, high performance ethernet forwarding with CuckooSwitch
Proceedings of the ninth ACM conference on Emerging networking experiments and technologies
TABLEFS: enhancing metadata efficiency in the local file system
USENIX ATC'13 Proceedings of the 2013 USENIX conference on Annual Technical Conference
Access privacy and correctness on untrusted storage
ACM Transactions on Information and System Security (TISSEC)
Scalable column concept determination for web tables using large knowledge bases
Proceedings of the VLDB Endowment
Streaming quotient filter: a near optimal approximate duplicate detection approach for data streams
Proceedings of the VLDB Endowment
A locality-aware memory hierarchy for energy-efficient GPU architectures
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture
An efficient multicharacter transition string-matching engine based on the aho-corasick algorithm
ACM Transactions on Architecture and Code Optimization (TACO)
Semantics-preserving sharing actors
Proceedings of the 2013 workshop on Programming based on actors, agents, and decentralized control
SI-TM: reducing transactional memory abort rates through snapshot isolation
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
RelaxReplay: record and replay for relaxed-consistency multiprocessors
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
On name-based group communication: Challenges, concepts, and transparent deployment
Computer Communications
Time- and space-efficient flow-sensitive points-to analysis
ACM Transactions on Architecture and Code Optimization (TACO)
Techniques to improve performance in requester-wins hardware transactional memory
ACM Transactions on Architecture and Code Optimization (TACO)
Reducing instruction fetch energy in multi-issue processors
ACM Transactions on Architecture and Code Optimization (TACO)
Adaptive range filters for cold data: avoiding trips to Siberia
Proceedings of the VLDB Endowment
Distributed socialite: a datalog-based language for large-scale graph analysis
Proceedings of the VLDB Endowment
Is it really you?: user identification via adaptive behavior fingerprinting
Proceedings of the 4th ACM conference on Data and application security and privacy
Survey Bloom filter applications in network security: A state-of-the-art survey
Computer Networks: The International Journal of Computer and Telecommunications Networking
Privacy Preserving Index for Encrypted Electronic Medical Records
Journal of Medical Systems
Efficient execution of speculative threads and transactions with hardware transactional memory
Future Generation Computer Systems
ComMapReduce: An improvement of MapReduce with lightweight communication mechanisms
Data & Knowledge Engineering
Journal of Network and Computer Applications
Improving the performance of Invertible Bloom Lookup Tables
Information Processing Letters
Future Generation Computer Systems
TWINS: Efficient time-windowed in-network joins for sensor networks
Information Sciences: an International Journal
High-performance capabilities for 1-hop containment of network attacks
IEEE/ACM Transactions on Networking (TON)
Bibliography on data base structures
ACM SIGMIS Database
Leveraging GPUs using cooperative loop speculation
ACM Transactions on Architecture and Code Optimization (TACO)
Optimized hash for network path encoding with minimized false positives
Computer Networks: The International Journal of Computer and Telecommunications Networking
Search pattern leakage in searchable encryption: Attacks and new construction
Information Sciences: an International Journal
Editorial: Efficient incremental update and querying in AWETO RDF storage system
Data & Knowledge Engineering
Bloom filter-based workflow management to enable QoS guarantee in wireless sensor networks
Journal of Network and Computer Applications
Resilient and underlay-aware P2P live-streaming
Computer Networks: The International Journal of Computer and Telecommunications Networking
YmalDB: exploring relational databases via result-driven recommendations
The VLDB Journal — The International Journal on Very Large Data Bases
MILo-DB: a personal, secure and portable database machine
Distributed and Parallel Databases
Searchable symmetric encryption: Improved definitions and efficient constructions
Journal of Computer Security
Memory efficient sanitization of a deduplicated storage system
FAST'13 Proceedings of the 11th USENIX conference on File and Storage Technologies
HARDFS: hardening HDFS with selective and lightweight versioning
FAST'13 Proceedings of the 11th USENIX conference on File and Storage Technologies
Distributed caching in unstructured peer-to-peer file sharing networks
Computers and Electrical Engineering
An ant colony model based replica consistency maintenance strategy in unstructured P2P networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Hi-index | 48.34 |
In this paper trade-offs among certain computational factors in hash coding are analyzed. The paradigm problem considered is that of testing a series of messages one-by-one for membership in a given set of messages. Two new hash-coding methods are examined and compared with a particular conventional hash-coding method. The computational factors considered are the size of the hash area (space), the time required to identify a message as a nonmember of the given set (reject time), and an allowable error frequency.The new methods are intended to reduce the amount of space required to contain the hash-coded information from that associated with conventional methods. The reduction in space is accomplished by exploiting the possibility that a small fraction of errors of commission may be tolerable in some applications, in particular, applications in which a large amount of data is involved and a core resident hash area is consequently not feasible using conventional methods.In such applications, it is envisaged that overall performance could be improved by using a smaller core resident hash area in conjunction with the new methods and, when necessary, by using some secondary and perhaps time-consuming test to “catch” the small fraction of errors associated with the new methods. An example is discussed which illustrates possible areas of application for the new methods.Analysis of the paradigm problem demonstrates that allowing a small number of test messages to be falsely identified as members of the given set will permit a much smaller hash area to be used without increasing reject time.