Power reduction of superscalar processor functional units by resizing adder-width

  • Authors:
  • Guadalupe Miñana;Oscar Garnica;José Ignacio Hidalgo;Juan Lanchares;José Manuel Colmenar

  • Affiliations:
  • Departamento de Arquitectura de Computadores y Automática, Universidad Complutense de Madrid;Departamento de Arquitectura de Computadores y Automática, Universidad Complutense de Madrid;Departamento de Arquitectura de Computadores y Automática, Universidad Complutense de Madrid;Departamento de Arquitectura de Computadores y Automática, Universidad Complutense de Madrid;Ingeniería Técnica en Informática de SistemasCES Felipe II, Aranjuez Madrid

  • Venue:
  • PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper presents a hardware technique to reduce of static and dynamic power consumption in FUs. This approach entails substituting some of the power-hungry adders of a 64-bit superscalar processor, by others with lower power-consumption, and modifying the slot protocol in order to issue as much instructions as possible to those low power consumption units incurring marginal performance penalties. Our proposal saves between a 2% and a 45% of power-performance in FUs and between a 16% and a 65% of power-consumption in adders.