Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures

  • Authors:
  • Mohamed M. Sabry;Ayse K. Coskun;David Atienza;Tajana Šimunić Rosing;Thomas Brunschwiler

  • Affiliations:
  • Embedded Systems Laboratory, École Polytechnique Fédérale de Lausanne, Lausanne, Switzerland;Department of Electrical and Computer Engineering, Boston University, Boston, MA, USA;Embedded Systems Laboratory, École Polytechnique Fédérale de Lausanne, Lausanne, Switzerland;Department of Computer Science and Engineering, University of California at San Diego, San Diego, CA, USA;Zurich Research Laboratory, IBM Research GmbH, Zurich, Switzerland

  • Venue:
  • IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
  • Year:
  • 2011

Quantified Score

Hi-index 0.03

Visualization

Abstract

3-D stacked systems reduce communication delay in multiprocessor system-on-chips (MPSoCs) and enable heterogeneous integration of cores, memories, sensors, and RF devices. However, vertical integration of layers exacerbates temperature-induced problems such as reliability degradation. Liquid cooling is a highly efficient solution to overcome the accelerated thermal problems in 3-D architectures; however, it brings new challenges in modeling and run-time management for such 3-D MPSoCs with multitier liquid cooling. This paper proposes a novel design-time/run-time thermal management strategy. The design-time phase involves a rigorous thermal impact analysis of various thermal control variables. We then utilize this analysis to design a run-time fuzzy controller for improving energy efficiency in 3-D MPSoCs through liquid cooling management and dynamic voltage and frequency scaling (DVFS). The fuzzy controller adjusts the liquid flow rate dynamically to match the cooling demand of the chip for preventing overcooling and for maintaining a stable thermal profile. The DVFS decisions increase chip-level energy savings and help balance the temperature across the system. Our controller is used in conjunction with temperature-aware load balancing and dynamic power management strategies. Experimental results on 2-tier and 4-tier 3-D MPSoCs show that our strategy prevents the system from exceeding the given threshold temperature. At the same time, we reduce cooling energy by up to 63% and system-level energy by up to 21% in comparison to statically setting a flow rate setting to handle worst-case temperatures.