Validating the Intel® Pentium® 4 Microprocessor

  • Authors:
  • Bob Bentley

  • Affiliations:
  • -

  • Venue:
  • DSN '01 Proceedings of the 2001 International Conference on Dependable Systems and Networks (formerly: FTCS)
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

Abstract: Developing a new leading-edge IA-32 microprocessor is an immensely complicated undertaking, and it should come as no surprise to learn that logic bugs exist in the design. This is particularly true when, as is the case with the Pentium®4 processor, the microarchitecture is significantly more complex than any previous IA-32 microprocessor and the implementation borrowed almost nothing from any previous implementation. The purpose of this paper is to provide data on the bugs that were found prior to initial silicon on the Pentium®4 processor, and to describe how we went about the task of finding them. We hope that by sharing our experience and insights, other microprocessor designers and validators will be able to benefit from them. As Doug Clark [1] has remarked: "Finding a bug should be a cause for celebration. Each discovery is a small victory; each marks an incremental improvement in the design."