Dynamic Voltage Scaling on MPEG Decoding

  • Authors:
  • Donghwan Son

  • Affiliations:
  • -

  • Venue:
  • ICPADS '01 Proceedings of the Eighth International Conference on Parallel and Distributed Systems
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

Abstract: A number of research efforts have been devoted to reduce energy consumption of a processor without impacting the performance through the use of dynamic voltage scaling (DVS). This paper presents two DVS algorithms on MPEG decoding. One is DVS with delay and drop rate minimizing algorithm (DVS-DM) where voltage is determined based on previous workload only. Another algorithm scales the supply voltage according to the predicted MPEG decoding time and previous workload (DVS with predicted decoding time or DVS-PD). Simulation results show that DVS-PD improves energy efficiency as much as 56% compared to the conventional shutdown algorithm. We also found that the amount of energy saving with DVS-PD is not affected by the fluctuation of the movie stream. But it is related with error rate of the predictor, which implies that if decoding time is predicted more accurately, DVS algorithm can be more efficient.