Behavioral Fault Modeling and Simulation Using VHDL-AMS to Speed-Up Analog Fault Simulation

  • Authors:
  • Y. Kiliç;M. Zwoliński

  • Affiliations:
  • Allegro MicroSystems Europe Ltd., Stuart House, Station Road, Musselburgh, EH21 7PB, UK. yavuz.kilic@ieee.org;Department of Electronic and Computer Science, University of Southampton, Highfield, Southampton, SO17 1BJ, UK. mz@ecs.soton.ac.uk

  • Venue:
  • Analog Integrated Circuits and Signal Processing
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

One of the main requirements for generating test patterns for analog and mixed-signal circuits is fast fault simulation. Analog fault simulation is much slower than the digital equivalent. This is due to the fact that digital circuit simulators use less complex algorithms compared with transistor-level simulators. Two of the techniques to speed up analog fault simulation are: fault dropping/collapsing, in which faults that have similar circuit responses compared with the fault-free circuit response and/or with another faulty circuit response are considered equivalent; and behavioral/macro modeling, whereby parts of the circuit are modeled at a more abstract level, therefore reducing the complexity and the simulation time. This paper discusses behavioral fault modeling to speed-up fault simulation for analog circuits.