Fault Modeling and Simulation Using VHDL-AMS

  • Authors:
  • A. J. Perkins;M. Zwolinski;C. D. Chalk;B. R. Wilkins

  • Affiliations:
  • Department of Electronics and Computer Science, University of Southampton, Southampton SO17 1BJ, UK;Department of Electronics and Computer Science, University of Southampton, Southampton SO17 1BJ, UK;Department of Electronics and Computer Science, University of Southampton, Southampton SO17 1BJ, UK;Department of Electronics and Computer Science, University of Southampton, Southampton SO17 1BJ, UK

  • Venue:
  • Analog Integrated Circuits and Signal Processing - Special issue: Analog VHDL
  • Year:
  • 1998

Quantified Score

Hi-index 0.00

Visualization

Abstract

Fault simulation is an accepted part of the test generationprocedure for digital circuits. With complex analog and mixed-signalintegrated circuits, such techniques must now be extended. Analog simulationis slow and fault simulation can be prohibitively expensive because of thelarge number of potential faults. We describe how the number of faults to besimulated in an analog circuit can be reduced by fault collapsing, and howthe simulation time can be reduced by behavioral modeling of fault-free andfaulty circuit blocks. These behavioral models can be implemented in SPICEor in VHDL-AMS and we discuss the merits of each approach. VHDL-AMS doespotentially offer advantages in tackling this problem, but there are anumber of computational difficulties to be overcome.