Using a serial cache for energy efficient instruction fetching

  • Authors:
  • Glenn Reinman;Brad Calder

  • Affiliations:
  • Department of Computer Science, University of California, 4731 D Boelter Hall, Los Angeles, CA;Department of Computer Science and Engineering, University of California, San Diego, CA

  • Venue:
  • Journal of Systems Architecture: the EUROMICRO Journal
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

The design of a high performance fetch architecture can be challenging due to poor interconnect scaling and energy concerns. Way prediction has been presented as one means of scaling the fetch engine to shorter cycle times, while providing energy efficient instruction cache accesses. However, way prediction requires additional complexity to handle mispredictions.In this paper, we examine a high-bandwidth fetch architecture augmented with an instruction cache way predictor. We compare the performance and energy efficiency of this architecture to both a serial access cache and a parallel access cache. Our results show that a serial fetch architecture achieves approximately the same energy reduction and performance as way prediction architectures, without the added structures and recovery complexity needed for way prediction.