Hotspot Prevention Through Runtime Reconfiguration in Network-On-Chip

  • Authors:
  • G. M. Link;N. Vijaykrishnan

  • Affiliations:
  • The Pennsylvania State University, University Park, PA;The Pennsylvania State University, University Park, PA

  • Venue:
  • Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Many existing thermal management techniques focus on reducing the overall power consumption of the chip, and do not address location-specific temperature problems referred to as hotspots. We propose the use of dynamic runtime reconfiguration to shift the hotspot-inducing computation periodically and make the thermal profile more uniform. Our analysis shows that dynamic reconfiguration is an effective technique in reducing hotspots for NoCs.