An Improved AMG-based Method for Fast Power Grid Analysis

  • Authors:
  • Cheng Zhuo;Jiang Hu;Kangsheng Chen

  • Affiliations:
  • Zhejiang University, Hangzhou, China;Texas A&M University, College Station, TX;Zhejiang University, Hangzhou, China

  • Venue:
  • ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

The continuing VLSI technology scaling leads to increasingly significant power supply fluctuations, which need to be modeled accurately in circuit design and verification. Meanwhile, the huge size of power grid requires its analysis to be fast and highly scalable. Algebraic multigrid (AMG) has been recognized as a promising approach for fast power grid analysis. We propose several techniques to improve AMG-based power grid analysis: (1) dynamic reduction threshold, (2) weighted interpolation and (3) a new error smoothing scheme. Experimental results on power grid with up to 1.6 million nodes show that these techniques can improve accuracy by over 10 times compared to a reported industrial method while retaining the same fast speed.