By-passing the out-of-order execution pipeline to increase energy-efficiency

  • Authors:
  • Hans Vandierendonck;Philippe Manet;Thibault Delavallee;Igor Loiselle;Jean-Didier Legat

  • Affiliations:
  • Ghent University, Ghent, Belgium;Universite catholique de Louvain, Louvain-la-Neuve, Belgium;Universite catholique de Louvain, Louvain-la-Neuve, Belgium;Universite catholique de Louvain, Louvain-la-Neuve, Belgium;Universite catholique de Louvain, Louvain-la-Neuve, Belgium

  • Venue:
  • Proceedings of the 4th international conference on Computing frontiers
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

Out-of-order execution significantly increases the performanceof superscalar processors. The out-of-order execution mechanismis, however, energy-inefficient, which inhibits scaling superscalar processorsto high issue widths and large instruction windows. In this paper, we build on the observation that between 19% and 36% of the instructions are immediately ready for execution, even before entering the issue queue. Yet, these instructions proceed to the energy-consuming steps ofinstruction wake-up and select and they needlessly occupy space in theissue queue. To save energy, we propose for these instructions to by-pass the out-of-order execution core. Instead, we execute them on an energy-efficient single-issue in-order by-pass pipeline.The by-pass pipeline executes a significant fraction of all instructions,allowing performance-energy trade-offs with respect to the issue width of the out-of-order pipeline and to the issue queue size.By making these trade-offs, we show energy reductions of 53% for the issue queue, 33% for the register file and 31% in the write-back and wake-up logic. Performance remains almost unaffected.