Instruction issue logic for high-performance, interruptable pipelined processors
ISCA '87 Proceedings of the 14th annual international symposium on Computer architecture
Implementing Precise Interrupts in Pipelined Processors
IEEE Transactions on Computers
Machine organization of the IBM RISC System/6000 processor
IBM Journal of Research and Development
Design of the IBM Enterprise System/9000 high-end processor
IBM Journal of Research and Development
Instruction-level parallel processing: history, overview, and perspective
The Journal of Supercomputing - Special issue on instruction-level parallelism
The PowerPC 603 microprocessor
Communications of the ACM
MICRO 31 Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture
Journal of Systems Architecture: the EUROMICRO Journal
Delaying physical register allocation through virtual-physical registers
Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture
ACM Computing Surveys (CSUR)
Advanced Computer Architectures
Advanced Computer Architectures
The Anatomy of a High Performance Microprocessor (Interactive Book/CD-ROM): A Systems Perspective with Cdrom
IEEE Micro
The Power PC 601 Microprocessor
IEEE Micro
The PowerPC 604 RISC microprocessor
IEEE Micro
IEEE Micro
Advanced performance features of the 64-bit PA-8000
COMPCON '95 Proceedings of the 40th IEEE Computer Society International Conference
Microarchitecture of HaL's CPU
COMPCON '95 Proceedings of the 40th IEEE Computer Society International Conference
The PowerPC 620 microprocessor: a high performance superscalar RISC microprocessor
COMPCON '95 Proceedings of the 40th IEEE Computer Society International Conference
A new PowerPC microprocessor for low power computing systems
COMPCON '95 Proceedings of the 40th IEEE Computer Society International Conference
The Alpha 21264: A 500 MHz Out-of-Order Execution Microprocessor
COMPCON '97 Proceedings of the 42nd IEEE International Computer Conference
HPCA '98 Proceedings of the 4th International Symposium on High-Performance Computer Architecture
A Scalable Register File Architecture for Dynamically Scheduled Processors
PACT '96 Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques
HIPC '97 Proceedings of the Fourth International Conference on High-Performance Computing
Integrating superscalar processor components to implement register caching
ICS '01 Proceedings of the 15th international conference on Supercomputing
Selective Register Renaming: A Compiler-Driven Approach to Dynamic Register Renaming
HPCN Europe 2001 Proceedings of the 9th International Conference on High-Performance Computing and Networking
Late Allocation and Early Release of Physical Registers
IEEE Transactions on Computers
Reducing Rename Logic Complexity for High-Speed and Low-Power Front-End Architectures
IEEE Transactions on Computers
Compacting register file via 2-level renaming and bit-partitioning
Microprocessors & Microsystems
By-passing the out-of-order execution pipeline to increase energy-efficiency
Proceedings of the 4th international conference on Computing frontiers
Hardware support for early register release
International Journal of High Performance Computing and Networking
International Journal of High Performance Computing and Networking
Improving error tolerance for multithreaded register files
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Efficient compilation for queue size constrained queue processors
Parallel Computing
2L-MuRR: a compact register renaming scheme for SMT processors
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Design and analysis of adaptive processor
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Achieving reliable system performance by fast recovery of branch miss prediction
Journal of Network and Computer Applications
Complexity-Effective rename table design for rapid speculation recovery
ARCS'10 Proceedings of the 23rd international conference on Architecture of Computing Systems
Exploiting inactive rename slots for detecting soft errors
ARCS'10 Proceedings of the 23rd international conference on Architecture of Computing Systems
ACM Transactions on Architecture and Code Optimization (TACO)
An out-of-order superscalar processor on FPGA: the ReOrder buffer design
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Revisiting reorder buffer architecture for next generation high performance computing
The Journal of Supercomputing
Hardware acceleration for programs in SSA form
Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
Hi-index | 0.01 |
In this article we focus on register renaming, a technique that has appeared in virtually all recent superscalars to boost performance. To elucidate this complex issue, we identify the design space of feasible techniques used in superscalars to rename registers. We discuss the main dimensions of the design space, and in each dimension we indicate possible design choices. Finally, we point out basic alternatives and possible implementation schemes of register renaming.