Power-constrained high-frequency circuits for the IBM POWER6 microprocessor

  • Authors:
  • B. Curran;E. Fluhr;J. Paredes;L. Sigal;J. Friedrich;Y.-H. Chan;C. Hwang

  • Affiliations:
  • IBM Systems and Technology Group, Poughkeepsie, New York;IBM Systems and Technology Group, Austin, Texas;IBM Systems and Technology Group, Austin, Texas;IBM Research Division, Thomas J. Waston Research Center, Yorktown Heights, New York;IBM Systems and Technology Group, Austin, Texas;IBM Systems and Technology Group, Poughkeepsie, New York;IBM Systems and Technology Group, Poughkeepsie, New York

  • Venue:
  • IBM Journal of Research and Development
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

The IBM POWER6™ microprocessor is a high-frequency (5-G Hz) microprocessor fabricated in the IBM 65-nm silicon-on-insulator (SOI) complementary metal-oxide semiconductor (CMOS) process technology. This paper describes the circuit, physical design, clocking, timing, power, and hardware characterization challenges faced in the pursuit of this industry-leading frequency. Traditional high-power, high-frequency techniques were abandoned in favor of more-power-efficient circuit design methodologies. The hardware frequency and power characterization are reviewed.