An instruction-systolic programmable shader architecture for multi-threaded 3D graphics processing

  • Authors:
  • Jung-Wook Park;Hoon-Mo Yang;Gi-Ho Park;Shin-Dug Kim;Charles C. Weems

  • Affiliations:
  • Department of Computer Science, C532, Yonsei University, 134 Shinchon-dong Seoul, 120-749, Republic of Korea;Department of Computer Science, C532, Yonsei University, 134 Shinchon-dong Seoul, 120-749, Republic of Korea;Department of Computer Engineering, Sejong University, 98 Kunja-Dong, Kwangjin-Ku, Seoul, 143-747, Republic of Korea;Department of Computer Science, C532, Yonsei University, 134 Shinchon-dong Seoul, 120-749, Republic of Korea;Department of Computer Science, University of Massachusetts Amherst, MA 01003-4610, United States

  • Venue:
  • Journal of Parallel and Distributed Computing
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

In order to guarantee both performance and programmability demands in 3D graphics applications, vector and multithreaded SIMD architectures have been employed in recent graphics processing units. This paper introduces a novel instruction-systolic array architecture, which transfers an instruction stream in a pipelined fashion to efficiently share the expensive functional resources of a graphics processor. Specifically, cache misses and dynamic branches can cause additional latencies and complicated management in these parallel architectures. To address this problem, we combine a systolic execution scheme with on-demand warp activation that handles cache miss latency and branch divergence efficiently without significantly increasing hardware resources, either in terms of logic or register space. Simulation indicates that the proposed architecture offers 25% better performance than a traditional SIMD architecture with the same resources, and requires significantly fewer resources to match the performance of a typical modern vector multi-threaded GPU architecture.