SWEEP: evaluating computer system energy efficiency using synthetic workloads

  • Authors:
  • Kristof Du Bois;Tim Schaeps;Stijn Polfliet;Frederick Ryckbosch;Lieven Eeckhout

  • Affiliations:
  • Ghent University, Belgium;Ghent University, Belgium;Ghent University, Belgium;Ghent University, Belgium;Ghent University, Belgium

  • Venue:
  • Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Energy efficiency is a key design concern in contemporary processor and system design, in the embedded domain as well as in the enterprise domain. The focus on energy efficiency has led to a number of power benchmarking methods recently. For example, EEMBC released EnergyBench and SPEC released SPECpower to quantify a system's energy efficiency; also academics have proposed power benchmarks, such as JouleSort. A major limitation for each of these proposals is that they are tied to a specific benchmark, and hence, they provide limited insight with respect to why one system may be more energy-efficient than another. This paper proposes SWEEP, Synthetic Workloads for Energy Efficiency and Performance evaluation, a framework for generating synthetic workloads with specific behavioral characteristics. We employ SWEEP to generate a wide range of synthetic workloads while varying the instruction mix, ILP, memory access patterns, and I/O-intensiveness; and we use SWEEP to evaluate the energy efficiency of commercial computer systems across the workload space and learn about how the energy efficiency of a computer system is tied to its workload's characteristics. This paper also presents the Energy-Delay Diagram (EDD), a novel method for visualizing energy efficiency. The EDD clearly illustrates the energy versus performance trade-off, and provides more intuitive insight than the traditionally used EDP and ED2P metrics.