From plasma to beefarm: design experience of an FPGA-based multicore prototype

  • Authors:
  • Nehir Sonmez;Oriol Arcas;Gokhan Sayilar;Osman S. Unsal;Adrián Cristal;Ibrahim Hur;Satnam Singh;Mateo Valero

  • Affiliations:
  • Barcelona Supercomputing Center, Spain and Computer Architecture Department, Universitat Politècnica de Catalunya;Barcelona Supercomputing Center, Spain and Computer Architecture Department, Universitat Politècnica de Catalunya;Faculty of Engineering and Natural Sciences, Sabanci University, Turkey;Barcelona Supercomputing Center, Spain;Barcelona Supercomputing Center, Spain and IIIA - Artif. Intelligence Research Inst. CSIC - Spanish National Research Council;Barcelona Supercomputing Center, Spain;Microsoft Research Cambridge, United Kingdom;Barcelona Supercomputing Center, Spain and Computer Architecture Department, Universitat Politècnica de Catalunya

  • Venue:
  • ARC'11 Proceedings of the 7th international conference on Reconfigurable computing: architectures, tools and applications
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we take a MIPS-based open-source uniprocessor soft core, Plasma, and extend it to obtain the Beefarm infrastructure for FPGA-based multiprocessor emulation, a popular research topic of the last few years both in the FPGA and the computer architecture communities. We discuss various design tradeoffs and we demonstrate superior scalability through experimental results compared to traditional software instruction set simulators. Based on our experience of designing and building a complete FPGA-based multiprocessor emulation system that supports run-time and compiler infrastructure and on the actual executions of our experiments running Software Transactional Memory (STM) benchmarks, we comment on the pros, cons and future trends of using hardware-based emulation for research.