Fairness via Source Throttling: A Configurable and High-Performance Fairness Substrate for Multicore Memory Systems

  • Authors:
  • Eiman Ebrahimi;Chang Joo Lee;Onur Mutlu;Yale N. Patt

  • Affiliations:
  • The University of Texas at Austin;Intel;Carnegie Mellon University;The University of Texas at Austin

  • Venue:
  • ACM Transactions on Computer Systems (TOCS)
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Cores in chip-multiprocessors (CMPs) share multiple memory subsystem resources. If resource sharing is unfair, some applications can be delayed significantly while others are unfairly prioritized. Previous research proposed separate fairness mechanisms for each resource. Such resource-based fairness mechanisms implemented independently in each resource can make contradictory decisions, leading to low fairness and performance loss. Therefore, a coordinated mechanism that provides fairness in the entire shared memory system is desirable. This article proposes a new approach that provides fairness in the entire shared memory system, thereby eliminating the need for and complexity of developing fairness mechanisms for each resource. Our technique, Fairness via Source Throttling (FST), estimates unfairness in the entire memory system. If unfairness is above a system-software-set threshold, FST throttles down cores causing unfairness by limiting the number of requests they create and the frequency at which they do. As such, our source-based fairness control ensures fairness decisions are made in tandem in the entire memory system. FST enforces thread priorities/weights, and enables system-software to enforce different fairness objectives in the memory system. Our evaluations show that FST provides the best system fairness and performance compared to three systems with state-of-the-art fairness mechanisms implemented in both shared caches and memory controllers.