Tradeoffs in two-level on-chip caching

  • Authors:
  • N. P. Jouppi;S. J. E. Wilton

  • Affiliations:
  • Digital Equipment Corporation Western Research Lab, 250 University Avenue, Palo Alto, CA;Dept. of Electrical and Computer Engineering, University of Toronto, 10 King's College Rd., Toronto, Ontario, Canada M5S 1A4

  • Venue:
  • ISCA '94 Proceedings of the 21st annual international symposium on Computer architecture
  • Year:
  • 1994

Quantified Score

Hi-index 0.01

Visualization

Abstract

The performance of two-level on-chip caching is investigated for a range of technology and architecture assumptions. The area and access time of each level of cache is modeled in detail. The results indicate that for most workloads, two-level cache configurations (with a set-associative second level) perform marginally better than single-level cache configurations that require the same chip area once the first-level cache sizes are 64KB or larger. Two-level configurations become even more important in systems with no off-chip cache and in systems in which the memory cells in the first-level caches are multiported and hence larger than those in the second-level cache. Finally, a new replacement policy called two-level exclusive caching is introduced. Two-level exclusive caching improves the performance of two-level caching organizations by increasing the effective associativity and capacity.