Circuit design of a dual-versioning L1 data cache

  • Authors:
  • Azam Seyedi;Adrií Armejach;Adrián Cristal;Osman S. Unsal;Ibrahim Hur;Mateo Valero

  • Affiliations:
  • Barcelona Supercomputing Center, Spain and Universitat Politècnica de Catalunya, Spain;Barcelona Supercomputing Center, Spain and Universitat Politècnica de Catalunya, Spain;Barcelona Supercomputing Center, Spain and IIIA-Artificial Intelligence Research Institute CSIC, Spanish National Research Council, Spain;Barcelona Supercomputing Center, Spain;Universitat Politècnica de Catalunya, Spain;Universitat Politècnica de Catalunya, Spain

  • Venue:
  • Integration, the VLSI Journal
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper proposes a novel L1 data cache design with dual-versioning SRAM cells (dvSRAM) for chip multi-processors that implement optimistic concurrency proposals. In this cache architecture, each dvSRAM cell has two cells, a main cell and a secondary cell, which keep two versions of the same logical data. These values can be accessed, modified, moved back and forth between the main and secondary cells within the access time of the cache. We design and simulate a 32KB dual-versioning L1 data cache and introduce three well-known use cases that make use of optimistic concurrency execution that can benefit from our proposed design.