Instruction-based energy estimation methodology for asymmetric manycore processor simulations

  • Authors:
  • William J. Song;Sudhakar Yalamanchili;Arun F. Rodrigues;Saibal Mukhopadhyay

  • Affiliations:
  • Georgia Institute of Technology, Atlanta, GA;Georgia Institute of Technology, Atlanta, GA;Sandia National Labs, Albuquerque, NM;Georgia Institute of Technology, Atlanta, GA

  • Venue:
  • Proceedings of the 5th International ICST Conference on Simulation Tools and Techniques
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Processor power is a complex function of device, packaging, microarchitecture, and application. Typical approaches to power simulation require detailed microarchitecture models to collect the statistical switching activity counts of processor components. In manycore simulations, the detailed core models are the main simulation speed bottleneck. In this paper, we propose an instruction-based energy estimation model for fast and scalable energy simulation. Importantly, in this approach the dynamic energy is modeled as a combination of three contributing factors: physical, microarchitectural, and workload properties. The model easily incorporates variations in physical parameters such as clock frequencies and supply voltages. When compared to commonly used cycle-level microarchitectural simulation approach with SPEC2006 benchmarks, the proposed instruction-based energy model incurred a 2.94% average error rate while achieving an average simulation time speedup of 74X for a 16-core asymmetric x86 ISA processor model with multiple clock domains operating at different frequencies.