Design space exploration of workload-specific last-level caches

  • Authors:
  • Karthik Swaminathan;Emre Kultursay;Vinay Saripalli;Vijaykrishnan Narayanan;Mahmut Kandemir

  • Affiliations:
  • Pennsylvania State University, University Park, PA, USA;Pennsylvania State University, University Park, PA, USA;Pennsylvania State University, University Park, PA, USA;Pennsylvania State University, University Park, PA, USA;Pennsylvania State University, University Park, PA, USA

  • Venue:
  • Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Leakage power of last-level caches constitute a significant part of overall power consumption. Various circuit-level and technology-based methods have been proposed to reduce cache leakage. However, from a system designer's perspective, for a particular configuration and workload, it is not clear which method will be most effective. In this work, we make a detailed evaluation and comparison of cache energy reduction techniques. Our results show that when energy is very scarce and important, the best results are obtained with highly energy efficient Tunnel-FET caches. When the available energy increases and performance becomes a bigger concern, there is no single winner. While a small number of capacity sensitive workloads benefit from increased capacity of STT-RAM caches, latency sensitive workloads prefer solutions with smaller latency penalties such as drowsy caches.