Memristors for neural branch prediction: a case study in strict latency and write endurance challenges

  • Authors:
  • Hebatallah Saadeldeen;Diana Franklin;Guoping Long;Charlotte Hill;Aisha Browne;Dmitri Strukov;Timothy Sherwood;Frederic T. Chong

  • Affiliations:
  • UC Santa Barbara;UC Santa Barbara;Institute of Software, Chinese Academy of Sciences;UC Santa Barbara;UC Santa Barbara;UC Santa Barbara;UC Santa Barbara;UC Santa Barbara

  • Venue:
  • Proceedings of the ACM International Conference on Computing Frontiers
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

Memristors offer many potential advantages over more traditional memory-cell technologies, including the potential for extreme densities, and fast read times. Current devices, however, are plagued by problems of yield, and durability. We present a limit study of an aggressive neural network application that has a high update rate and a strict latency requirement, analog neural branch predictor. Of course, traditional analog neural network (ANN) implementations of branch predictors are not built with the idea that the underlying bits are likely to fail due to both manufacturing and wear-out issues. Without some careful precautions, a direct one-to-one replacement will result in poor behavior. We propose a hybrid system that uses SRAM front-end cache, and a distributed-sum scheme to overcome memristors' limitations. Our design can leverage devices with even modest durability (surviving only hours of continuous switching) to provide a system lasting 5 or more years of continuous operation. In addition, these schemes allow for a fault-tolerant design as well. We find that, while a neural predictor benefits from larger density, current technology parameters do not allow high dense, energy-efficient design. Thus, we discuss a range of plausible memristor characteristics that would; as the technology advances; make them practical for our application.