Multi-level wordline driver for robust SRAM design in nano-scale CMOS technology

  • Authors:
  • Farshad Moradi;Georgios Panagopoulos;Georgios Karakonstantis;Hooman Farkhani;Dag T. Wisland;Jens K. Madsen;Hamid Mahmoodi;Kaushik Roy

  • Affiliations:
  • -;-;-;-;-;-;-;-

  • Venue:
  • Microelectronics Journal
  • Year:
  • 2014

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, a multi-level wordline driver scheme is presented to improve 6T-SRAM read and write stability. The proposed wordline driver generates a shaped pulse during the read mode and a boosted wordline during the write mode. During read, the shaped pulse is tuned at nominal voltage for a short period of time, whereas for the remaining access time, the wordline voltage is reduced to save the power consumption of the cell. This shaped wordline pulse results in improved read noise margin without any degradation in access time for small wordline load. The improvement is explained by examining the dynamic and nonlinear behavior of the SRAM cell. Furthermore, during the hold mode, for a short time (depending on the size of boosting capacitance), wordline voltage becomes negative and charges up to zero after a specific time that results in a lower leakage current compared to conventional SRAM. The proposed technique results in at least 2x improvement in read noise margin while it improves write margin by 3x for lower supply voltages than 0.7V. The leakage power for the proposed SRAM is reduced by 2% while the total power is improved by 3% in the worst case scenario for an SRAM array. The main advantage of the proposed wordline driver is the improvement of dynamic noise margin with less than 2.5% penalty in area. TSMC 65nm technology models are used for simulations.