Overcoming wireload model uncertainty during physical design

  • Authors:
  • Padmini Gopalakrishnan;Altan Odabasioglu;Lawrence Pileggi;Salil Raje

  • Affiliations:
  • Monterey Design Systems, 894 Ross Drive, Suite 100, Sunnyvale, CA;Monterey Design Systems, 894 Ross Drive, Suite 100, Sunnyvale, CA;Monterey Design Systems, 894 Ross Drive, Suite 100, Sunnyvale, CA;Monterey Design Systems, 894 Ross Drive, Suite 100, Sunnyvale, CA

  • Venue:
  • Proceedings of the 2001 international symposium on Physical design
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

The advent of deep sub-micron technologies has created a number of problems for existing design methodologies. Most prominent among them is the problem of timing closure, whereby design time is dramatically increased due to iterations between gate-level synthesis and physical design. It is well known that the heart of this problem lies in the use of wireload models based on wirelength statistics from legacy designs. Some technology projections in have suggested that wireload models will remain effective to block sizes on the order of 50k gates. This suggests that synthesis will not have to be changed much since this is approximately the maximum size for which logic synthesis is effective. However, our analyses on production designs show that the problem is not quite so straightforward, and the efficacy of synthesis using wireload models depends upon technology data as well as specific characteristics of the design. We analyze these effects and dependencies in detail in this paper, and draw some conclusions about the amount of physical information that is required for synthesis to be effective. Finally, we discuss the implications on hierarchical design flows, and propose a solution via physical prototyping.