ADir_pNB: A Cost-Effective Way to Implement Full Map Directory-Based Cache Coherence Protocols

  • Authors:
  • Tao Li;Lizy Kurian John

  • Affiliations:
  • -;-

  • Venue:
  • IEEE Transactions on Computers
  • Year:
  • 2001

Quantified Score

Hi-index 14.98

Visualization

Abstract

Directories have been used to maintain cache coherency in shared memory multiprocessors with private caches. The traditional full map directory tracks the exact caching status for each shared memory block and is designed to be efficient and simple. Unfortunately, the inherent directory size explosion makes it unsuitable for large-scale multiprocessors. In this paper, we propose a new directory scheme, dubbed associative full map directory ($ADir_pNB$) which reduces the directory storage requirement. The proposed $ADir_pNB$ uses one directory entry to maintain the sharing information for a set of exclusively cached memory blocks in a centralized linked list style. By implementing dynamic cache pointer allocation, reclamation, and replacement hints, $ADir_pNB$ can be implemented as 驴a full map directory with lower directory memory cost.驴 Our analysis indicates that, on a typical architectural paradigm, $ADir_pNB$ reduces memory overhead of a traditional full map directory by up to 70-80 percent. In addition to the low memory overhead, we show that the proposed scheme can be implemented with appropriate protocol modification and hardware addition. Simulation studies indicate that $ADir_pNB$ can achieve a competitive performance with the $Dir_pNB$. Compared with limited directory schemes, $ADir_pNB$ shows more stable and robust performance results on applications across a spectrum of memory sharing and access patterns due to the elimination of directory overflows. We believe that $ADir_pNB$ can be employed as a design alternative of full map directory for moderately large-scale and fine-grain shared memory multiprocessors.