Design Synthesis and Silicon Compilation

  • Authors:
  • Nikil D. Dutt;Daniel D. Gajski

  • Affiliations:
  • -;-

  • Venue:
  • IEEE Design & Test
  • Year:
  • 1990

Quantified Score

Hi-index 0.00

Visualization

Abstract

An overview is given of silicon compilation, which involves translating a high-level design description into layout. Levels of compilers are differentiated, and compilation is shown as a process of synthesis coupled with physical design at each level. The major tasks involved at each level are described, and examples are given to illustrate them. A typical design system based on synthesis and silicon compilation is described.