Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption

  • Authors:
  • Weiyu Tang;Alexander V. Veidenbaum;Alexandru Nicolau;Rajesh K. Gupta

  • Affiliations:
  • -;-;-;-

  • Venue:
  • ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
  • Year:
  • 2002

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we present a Branch Target Buffer (BTB) design for energy savings in set-associative instruction caches. We extend the functionality of a BTB by caching way predictions in addition to branch target addresses. Way prediction and branch target prediction are done in parallel. Instruction cache energy savings are achieved by accessing one cache way if the way prediction for a fetch is available.To increase the number of way predictions for higher energy savings, we modify the BTB management policy to allocate entries for nonbranch instructions. Furthermore, we propose to partition a BTB into ways for branch instructions and ways for non-branch instructions to reduce the BTB energy as well.We evaluate the effectiveness of our BTB design and management policies with SPEC95 benchmarks. The best BTB configuration shows a 74% energy savings on average in a 4-way set-associative instruction cache and the performance degradation is only 0.1%. When the instruction cache energy and the BTB energy are considered together, the average energy-delay product reduction is 65%.