Fault Tuples in Diagnosis of Deep-Submicron Circuits

  • Authors:
  • R. D. (Shawn) Blanton;J. T. Chen;R. Desineni;K. N. Dwarakanath;W. Maly;T. J. Vogels

  • Affiliations:
  • -;-;-;-;-;-

  • Venue:
  • ITC '02 Proceedings of the 2002 IEEE International Test Conference
  • Year:
  • 2002

Quantified Score

Hi-index 0.00

Visualization

Abstract

Diagnosis of malfunctioning deep-submi ron (DSM) ICs is becoming more difficult due to the increasing sophistication of the manufacturing process and the structural complexity of the IC itself. At the same time, key diagnostic tasks that include defect localization are still solved using primitive models of the IC's defects. This paper explores the use of "fault tuples" in diagnosis. Fault tuples can accurately mimic the complex misbehavior of DSM ICs at the logiclevel, enabling practical diagnosis of large circuits. Initial assessment of the use of fault tuples in diagnosis is performed based on a case study involving one specific category of polysilicon spot defects. Obtained results indicate that fault tuples may enhance diagnosis significantly.