High-level Crosstalk Defect Simulation for System-on-Chip Interconnects

  • Authors:
  • Xiaoliang Bai;Sujit Dey

  • Affiliations:
  • -;-

  • Venue:
  • VTS '01 Proceedings of the 19th IEEE VLSI Test Symposium
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

For system-on-chips (SoC) using deep submicron (DSM) technologies, interconnects are becoming critical determinants for performance and reliability. Buses and long interconnects are susceptible to crosstalk defects and may lead to functional and timing failure. Hence, testing for crosstalk errors on interconnects and buses in a SoC has become critical. To facilitate development of new crosstalk test methodologies and to efficiently evaluate crosstalk defect coverage for existing tests, there is a need for efficient crosstalk defect coverage analysis techniques. In this paper, we present an efficient high-level crosstalk defect simulation methodology. By using a novel high-level DSM error model for the interconnects, together with HDL models for the cores, our methodology enables fast crosstalk defect simulation to be conducted at high level. We validate the high-level interconnect DSM error model by comparing its outputs with HSPICE simulation results. The fast and accurate high-level crosstalk defect simulation methodology will enable evaluation and exploration of new crosstalk test techniques, as well as existing tests, leading to the development of low-cost crosstalk test.