Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors

  • Authors:
  • Mirko Loghi;Martin Letis;Luca Benini;Massimo Poncino

  • Affiliations:
  • Università di Verona, Verona, Italy;Università di Verona, Verona, Italy;Università di Bologna, Bologna, Italy;Politecnico di Torino, Torino, Italy

  • Venue:
  • GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

The performance of the various cache coherence protocols proposed in the literature have been extensively analyzed in the context of high-performance multi-processor systems.A similar analysis for Multi-Processor Systems-on-Chips (MP-SoCs), where energy is at least as important as performace, and for which strict constraints on hardware and software resources do exist, has not been done yet.This work provides an effort in that sense, showing energy/performance tradeoffs for different snoop-based protocols on a realistic MPSoC architecture. The analysis leverage a multi-processor simulation platform, augmented with accurate power models, that allows cycle-accurate simulations.Our analysis show that (i) cache write policy is actually more important than the actual cache coherence protocol, and (ii) matching the programming model and style to the architecture may have dramatic effects on the energy and performance of the system.