Lens aberration aware timing-driven placement

  • Authors:
  • Andrew B. Kahng;Chul-Hong Park;Puneet Sharma;Qinke Wang

  • Affiliations:
  • University of California at San Diego;University of California at San Diego;University of California at San Diego;University of California at San Diego

  • Venue:
  • Proceedings of the conference on Design, automation and test in Europe: Proceedings
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

Process variations due to lens aberrations are to a large extent systematic, and can be modeled for purposes of analyses and optimizations in the design phase. Traditionally, variations induced by lens aberrations have been considered random due to their small extent. However, as process margins reduce, and as improvements in reticle enhancement techniques control variations due to other sources with increased efficacy, lens aberration-induced variations gain importance. For example, our experiments indicate that lens aberration can result in up to 8% variation in cell delay. In this paper, we propose an aberration-aware timing-driven analytical placement approach that accounts for aberration-induced variations during placement. Our approach minimizes the design's cycle time and prevents hold-time violations under systematic aberration-induced variations. On average, the proposed placement technique reduces cycle time by ~ 5% at the cost of ~ 2% increase in wirelength.