Low-power branch prediction techniques for VLIW architectures: a compiler-hints based approach

  • Authors:
  • M. Monchiero;G. Palermo;M. Sami;C. Silvano;V. Zaccaria;R. Zafalon

  • Affiliations:
  • Politecnico di Milano, Dipartimento di Elettronica e Informazione,Via Ponzio 34, 20133 Milano, Italy;Politecnico di Milano, Dipartimento di Elettronica e Informazione,Via Ponzio 34, 20133 Milano, Italy;Politecnico di Milano, Dipartimento di Elettronica e Informazione,Via Ponzio 34, 20133 Milano, Italy;Politecnico di Milano, Dipartimento di Elettronica e Informazione,Via Ponzio 34, 20133 Milano, Italy;STMicroelectronics, AST-Advanced System Technology, Agrate Brianza, Milano, Italy;STMicroelectronics, AST-Advanced System Technology, Agrate Brianza, Milano, Italy

  • Venue:
  • Integration, the VLSI Journal - Special issue: ACM great lakes symposium on VLSI
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

The paper introduces a dynamic branch prediction scheme suitable for energy-aware Very Long Instruction Word (VLIW) processors. The proposed technique is based on a compiler hint mechanism to filter the accesses to the branch predictor blocks. We define a configurable hint instruction which anticipates some static information about the upcoming branch to reduce the hardware involved in the prediction, thus, the energy consumption. To analyze the effectiveness of the proposed low-power branch prediction scheme, we combined it with some well-known dynamic branch prediction techniques suitable for VLIW processors. The analyzed branch predictors are characterized by simple hardware implementations, matching the low-power characteristics of the target VLIW processors. Experimental results have been carried out on Lx, an industrial 4-issue VLIW architecture.