Power-aware branch prediction techniques: a compiler-hints based approach for VLIW processors

  • Authors:
  • M. Monchiero;G. Palermo;M. Sami;C. Silvano;V. Zaccaria;R. Zafalon

  • Affiliations:
  • Politecnico di Milano, Milano, ITALY;Politecnico di Milano, Milano, ITALY;Politecnico di Milano, Milano, ITALY;Politecnico di Milano, Milano, ITALY;STMicroelectronics, Agrate Brianza, Milano, ITALY;STMicroelectronics, Agrate Brianza, Milano, ITALY

  • Venue:
  • Proceedings of the 14th ACM Great Lakes symposium on VLSI
  • Year:
  • 2004

Quantified Score

Hi-index 0.01

Visualization

Abstract

Main goal of the paper is introducing a dynamic branch prediction scheme suitable for energy-aware VLIW (Very Long Instruction Word) processors. The proposed technique is based on a compiler hint mechanism to filter the accesses to the branch predictor blocks. Experimental results have been carried out on Lx/ST200, an industrial 4-issue VLIW architecture. We gathered two sets of results: First, by introducing the proposed low-power branch prediction technique in the Lx processor, which features fully static branch prediction, a significant improvement of the energy-delay metric has been observed. Second, we evaluated filtering efficacy of the proposed method and we found that it gets an access reduction to the branch prediction unit of 93% with respect to a processor directly derived from Lx, featuring cycle-by-cycle prediction, corresponding to an average 9% energy reduction of the whole processor power budget.