Branch prediction techniques for low-power VLIW processors

  • Authors:
  • G. Palermo;M. Sam;C. Silvan;V. Zaccari;R. Zafalo

  • Affiliations:
  • Politecnico di Milano, Dip. di Elettronica e Informazione, Milano, Italy and STMicroelectronics, Agrate Brianza, Milano, Italy;-;Politecnico di Milano, Dip. di Elettronica e Informazione, Milano, Italy;Politecnico di Milano, Dip. di Elettronica e Informazione, Milano, Italy;STMicroelectronics, Agrate Brianza, Milano, Italy

  • Venue:
  • Proceedings of the 13th ACM Great Lakes symposium on VLSI
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

Main goal of the paper is to introduce a branch prediction scheme suitable for energy-efficient VLIW (Very Long Instruction Word) processors aiming at reducing the energy associated with the prediction phase by filtering the accesses to the branch predictor block. To analyze the effectiveness of the proposed low-power branch prediction scheme, we combined it to some well-known dynamic branch prediction techniques suitable for VLIW processors. Experimental results have been carried out on Lx, a 4-issue VLIW architecture with 6-stage pipeline. The proposed solution implies a performance improvement of 7% on average and an average energy reduction of 15%.