Detecting tangled logic structures in VLSI netlists

  • Authors:
  • Tanuj Jindal;Charles J. Alpert;Jiang Hu;Zhuo Li;Gi-Joon Nam;Charles B. Winn

  • Affiliations:
  • Texas A&M University, College Station, Texas;IBM Austin Research Lab, Austin, Texas;Texas A&M University, College Station, Texas;IBM Austin Research Lab, Austin, Texas;IBM Austin Research Lab, Austin, Texas;IBM Systems and Technology Group, Essex Junction, Vermont

  • Venue:
  • Proceedings of the 47th Design Automation Conference
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

This work proposes a new problem of identifying large and tangled logic structures in a synthesized netlist. Large groups of cells that are highly interconnected to each other can often create potential routing hotspots that require special placement constraints. They can also indicate problematic clumps of logic that either require resynthesis to reduce wiring demand or specialized datapath placement. At a glance, this formulation appears similar to conventional circuit clustering, but there are two important distinctions. First, we are interested in finding large groups of cells that represent entire logic structures like adders and decoders, as opposed to clusters with only a handful of cells. Second, we seek to pull out only the structures of interest, instead of assigning every cell to a cluster to reduce problem complexity. This work proposes new metrics for detecting structures based on Rent's rule that, unlike traditional cluster metrics, are able to fairly differentiate between large and small groups of cells. Next, we demonstrate how these metrics can be applied to identify structures in a netlist. Finally, our experiments demonstrate the ability to predict and alleviate routing hotspots on a real industry design using our metrics and method.