Dynamic dictionary-based data compression for level-1 caches

  • Authors:
  • Georgios Keramidas;Konstantinos Aisopos;Stefanos Kaxiras

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of Patras, Patras, Greece;Department of Electrical and Computer Engineering, University of Patras, Patras, Greece;Department of Electrical and Computer Engineering, University of Patras, Patras, Greece

  • Venue:
  • ARCS'06 Proceedings of the 19th international conference on Architecture of Computing Systems
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

Data cache compression is actively studied as a venue to make bet ter use of on-chip transistors, increase apparent capacity of caches, and hide the long memory latencies. While several techniques have been proposed for L2 compression, L1 compression is an elusive goal. This is due to L1's sen sitivity to latency and the inability to create compression schemes that are both fast and adaptable to program behavior, i.e. dynamic. In this paper, we propose the first dynamic dictionary-based compression mechanism for L1 data caches. Our design solves the problem of keeping the compressed contents of the cache and the dictionary entries consistent, using a timekeeping decay technique. A dynamic compression dictionary adapts to program be havior without the need of profiling techniques and/or training phases. We compare our approach to previously proposed static dictionary techniques and we show that we surpass them in terms of power, hit ratio and energy delay product.