Feedback thermal control of real-time systems on multicore processors

  • Authors:
  • Yong Fu;Nicholas Kottenstette;Chenyang Lu;Xenofon D. Koutsoukos

  • Affiliations:
  • Washington University in St. Louis, St. Louis, MO, USA;Vanderbilt University, Nashville, TN, USA;Washington University in St. Louis, St. Louis, MO, USA;Vanderbilt University, Nashville, TN, USA

  • Venue:
  • Proceedings of the tenth ACM international conference on Embedded software
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Embedded real-time systems face significant challenges in thermal management. While earlier research on feedback thermal control has shown promise in dealing with the uncertainty in thermal characteristics, multicore processors introduce new challenges that cannot be handled by previous solutions designed for single-core processors. Multicore processors require the temperature and real-time performance of multiple cores be controlled simultaneously, leading to multi-input-multi-output control problems with inter-core thermal coupling. Furthermore, current Dynamic Voltage and Frequency Scaling (DVFS) mechanisms only support a finite set of states, leading to discrete control variables that cannot be handled by standard linear control techniques. This paper presents Real-Time Multicore Thermal Control (RT-MTC), a novel feedback thermal control framework pecifically designed for multicore real-time systems. RT-MTC dynamically enforces both the desired temperature set point and the schedulable CPU utilization bound of a multicore processor through DVFS. RT-MTC employs a rigorously designed, efficient controller that can achieve effective thermal control with the small number of frequencies commonly supported by current processors. The robustness and advantages of RT-MTC over existing thermal control approaches are demonstrated through both experiments on an Intel Core 2 Duo processor and simulations under a wide range of uncertainties in power consumption.