Wattch: a framework for architectural-level power analysis and optimizations
Proceedings of the 27th annual international symposium on Computer architecture
A framework for dynamic energy efficiency and temperature management
Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
Variability in the execution of multimedia applications and implications for architecture
ISCA '01 Proceedings of the 28th annual international symposium on Computer architecture
Saving energy with architectural and frequency adaptations for multimedia applications
Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture
Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic Power
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques
Dynamic Thermal Management for High-Performance Microprocessors
HPCA '01 Proceedings of the 7th International Symposium on High-Performance Computer Architecture
HPCA '02 Proceedings of the 8th International Symposium on High-Performance Computer Architecture
CPU Service Classes for Multimedia Applications
ICMCS '99 Proceedings of the IEEE International Conference on Multimedia Computing and Systems - Volume 2
Hybrid Architectural Dynamic Thermal Management
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Temperature-aware microarchitecture: Modeling and implementation
ACM Transactions on Architecture and Code Optimization (TACO)
Thermal Modeling, Characterization and Management of On-Chip Networks
Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture
A simulation methodology for reliability analysis in multi-core SoCs
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Compiler-directed thermal management for VLIW functional units
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
Dynamic thermal clock skew compensation using tunable delay buffers
Proceedings of the 2006 international symposium on Low power electronics and design
An optimal analytical solution for processor speed control with thermal constraints
Proceedings of the 2006 international symposium on Low power electronics and design
Dynamic thermal management for MPEG-2 decoding
Proceedings of the 2006 international symposium on Low power electronics and design
Active bank switching for temperature control of the register file in a microprocessor
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Temperature-aware leakage minimization technique for real-time systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Efficient power modeling and software thermal sensing for runtime temperature monitoring
ACM Transactions on Design Automation of Electronic Systems (TODAES)
HW-SW emulation framework for temperature-aware design in MPSoCs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Temperature aware task scheduling in MPSoCs
Proceedings of the conference on Design, automation and test in Europe
Predictive thermal management for hard real-time tasks
ACM SIGBED Review - Special issue: The work-in-progress (WIP) session of the RTSS 2005
Temperature-aware processor frequency assignment for MPSoCs using convex optimization
CODES+ISSS '07 Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Performance optimal processor throttling under thermal constraints
CASES '07 Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems
Integration, the VLSI Journal
Interconnect lifetime prediction for reliability-aware systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Power and reliability management of SoCs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Approximation algorithm for the temperature-aware scheduling problem
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A stochastic local hot spot alerting technique
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Asynchronous control of modules activity in integrated systems for reducing peak temperatures
Integration, the VLSI Journal
Stochastic modeling of a thermally-managed multi-core system
Proceedings of the 45th annual Design Automation Conference
Predictive dynamic thermal management for multicore systems
Proceedings of the 45th annual Design Automation Conference
Compiler-driven register re-assignment for register file power-density and temperature reduction
Proceedings of the 45th annual Design Automation Conference
Hybrid dynamic thermal management based on statistical characteristics of multimedia applications
Proceedings of the 13th international symposium on Low power electronics and design
Temperature control of high-performance multi-core platforms using convex optimization
Proceedings of the conference on Design, automation and test in Europe
Dynamic thermal clock skew compensation using tunable delay buffers
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
GOP-level dynamic thermal management in MPEG-2 decoding
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Temperature-aware register reallocation for register file power-density minimization
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Predictive Thermal Management for Chip Multiprocessors Using Co-designed Virtual Machines
HiPEAC '09 Proceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers
System-level thermal aware design of applications with uncertain execution time
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proactive temperature balancing for low cost thermal management in MPSoCs
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
A framework for predictive dynamic temperature management of microprocessor systems
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Static and dynamic temperature-aware scheduling for multiprocessor SoCs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Dynamic thermal management via architectural adaptation
Proceedings of the 46th Annual Design Automation Conference
Thermal-aware data flow analysis
Proceedings of the 46th Annual Design Automation Conference
Processor speed control with thermal constraints
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Thermal analysis and modeling of embedded processors
Computers and Electrical Engineering
A hybrid local-global approach for multi-core thermal management
Proceedings of the 2009 International Conference on Computer-Aided Design
Energy-optimal dynamic thermal management for green computing
Proceedings of the 2009 International Conference on Computer-Aided Design
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Performance-aware thermal management via task scheduling
ACM Transactions on Architecture and Code Optimization (TACO)
Thermal-aware compilation for system-on-chip processing architectures
Proceedings of the 20th symposium on Great lakes symposium on VLSI
An overview of achieving energy efficiency in on-chip networks
International Journal of Communication Networks and Distributed Systems
Temperature-aware integrated DVFS and power gating for executing tasks with runtime distribution
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Temperature-aware scheduler based on thermal behavior grouping in multicore systems
Proceedings of the Conference on Design, Automation and Test in Europe
Hardware/software co-design architecture for thermal management of chip multiprocessors
Proceedings of the Conference on Design, Automation and Test in Europe
Compiler and runtime support for predictive control of power and cooling
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Run-time adaptable on-chip thermal triggers
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Dynamic thermal management for multimedia applications using machine learning
Proceedings of the 48th Design Automation Conference
Predictive Model-Based Thermal Management for Network Applications
Proceedings of the 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems
TSIC: thermal scheduling simulator for chip multiprocessors
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
Optimization of reliability and power consumption in systems on a chip
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Dynamic management of thermally-induced clock skew: an implementation perspective
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Microvisor: a runtime architecture for thermal management in chip multiprocessors
Transactions on High-Performance Embedded Architectures and Compilers IV
A novel software solution for localized thermal problems
ISPA'06 Proceedings of the 4th international conference on Parallel and Distributed Processing and Applications
Recent thermal management techniques for microprocessors
ACM Computing Surveys (CSUR)
Multi-level simultaneous multithreading scheduling to reduce the temperature of register files
Concurrency and Computation: Practice & Experience
Feedback thermal control of real-time systems on multicore processors
Proceedings of the tenth ACM international conference on Embedded software
Exploiting thermal coupling information in MPSoC dynamic thermal management
ARCS'13 Proceedings of the 26th international conference on Architecture of Computing Systems
ACM Transactions on Embedded Computing Systems (TECS)
Proceedings of the International Conference on Computer-Aided Design
Towards software performance engineering for multicore and manycore systems
ACM SIGMETRICS Performance Evaluation Review
Worst-case guarantees on a processor with temperature-based feedback control of speed
ACM Transactions on Embedded Computing Systems (TECS)
Hi-index | 0.00 |
Dynamic Thermal Management (DTM) techniques have been proposed to save on thermal packaging and cooling costs for general-purpose processors. However, when invoked, these techniques result in a significant performance degradation. This paper concerns performance-effective DTM for multimedia applications. We make two contributions: (1) Current DTM algorithms are reactive in nature. We propose a predictive DTM algorithm targeted at multimedia applications, which allows the efficient use of response mechanisms that have high invocation overhead. We find that for our applications, our predictive algorithm performs significantly better than existing reactive DTM algorithms. (2) We evaluate the effectiveness of different DTM response mechanisms. Specifically, we demonstrate the importance of tailoring DTM response mechanisms to the thermal "hot-spots" on the chip and the current thermal limit, and show that a predictive combination of architecture adaptation and dynamic voltage scaling (DVS) performs the best across a broad range of applications and thermal limits.