Dynamic thermal clock skew compensation using tunable delay buffers

  • Authors:
  • Ashutosh Chakraborty;Karthik Duraisami;Ashoka Sathanur;Prassanna Sithambaram;Luca Benini;Alberto Macii;Enrico Macii;Massimo Poncino

  • Affiliations:
  • Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy;Dipartimento di Elettronica, Informatica, e Sistemistica, Università di Bologna, Bologna, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy;Dipartimento di Automatica e Informatica, Politecnico di Torino, Torino, Italy

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

The thermal gradients existing in high-performance circuits may significantly affect their timing behavior, in particular, by increasing the skew of the clock net and/or altering hold/setup constraints, possibly causing the circuit to operate incorrectly. The knowledge of the spatial distribution of temperature can be used to properly design a clock network that is able to compensate such thermal non-uniformities. However, redesign of the clock network is effective only if temperature distribution is stationary, i.e., does not change over time. In this paper, we specifically address the problem of dynamically modifying the clock tree in such a way that it can compensate for temporal variations of temperature. This is achieved by exploiting the buffers that are inserted during the clock network generation, by transforming them into tunable delay elements. Temperature-induced delay variations are then compensated by applying the proper tuning to the tunable buffers, which is computed offline and stored in a tuning table inserted in the design. We propose an algorithm to minimize the number of inserted tunable buffers, as well as their tunable range (which directly relates to complexity). Results show that clock skew is kept within original bounds with worst-case power and area penalty of 3.5% and 5.5%, respectively.