Online clock skew tuning for timing speculation

  • Authors:
  • Rong Ye;Feng Yuan;Qiang Xu

  • Affiliations:
  • The Chinese University of Hong Kong, Shatin, N. T., Hong Kong, and Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences;The Chinese University of Hong Kong, Shatin, N. T., Hong Kong;The Chinese University of Hong Kong, Shatin, N. T., Hong Kong, and Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences

  • Venue:
  • Proceedings of the International Conference on Computer-Aided Design
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

The timing performance and yield of integrated circuits can be improved by carefully assigning intentional clock skews to flipflops. Due to the ever-increasing process, voltage, and temperature variations with technology scaling, however, traditional clock skew optimization solutions that work in a conservative manner to guarantee "always correct" computation cannot perform as well as expected. By allowing infrequent timing errors and recovering from them with minor performance impact, the concept of timing speculation has attracted lots of research attention since it enables "better than worst-case design". In this work, we propose a novel online clock skew tuning technique for circuits equipped with timing speculation capability. By observing the occurrence of timing errors at runtime and tuning clock skews accordingly, the proposed technique is able to achieve much better timing performance when compared to existing clock skew optimization solutions. Experimental results on various benchmark circuits demonstrate the effectiveness of the proposed methodology.